You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
T. Meissner dbfe16af59 Add more checks, coverage & tests 3 years ago
wishbone Add more checks, coverage & tests 3 years ago
LICENSE.md Add license text 3 years ago
README.md README:clarify project focus & intention 3 years ago

README.md

The original repository is now located on my own git-server at https://git.goodcleanfun.de/tmeissner/verification_ip It is mirrored to github with every push, so both should be in sync.

verification_ip

Verification IPs for simulation & formal verification of various selected protocols. All tests are done with GHDL and SymbiYosys, a front-end for formal verification flows based on Yosys.

The components in this repository are not intended as productional code. They are created out of personal interest and to find out what one can achieve with current state of open source tools, expecially in the VHDL domain.

wishbone

Simple VIP for the wishbone bus protocol. First goal is functional coverage to detect valid transfer cycles and their variants. Currently support of classic single read / write cycles only.