You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

39 lines
864 B

  1. -- Simple wishbone verification IP
  2. -- For use with GHDL only
  3. -- Suitable for simulation & formal verification
  4. -- Copyright 2021 by Torsten Meissner (programming@goodcleanfun.de)
  5. library ieee;
  6. use ieee.std_logic_1164.all;
  7. use ieee.numeric_std.all;
  8. package wishbone_pkg is
  9. type t_wb_syscon is record
  10. Reset : std_logic;
  11. Clk : std_logic;
  12. end record;
  13. type t_wb_master is record
  14. Cyc : std_logic;
  15. Stb : std_logic;
  16. We : std_logic;
  17. Lock : std_logic;
  18. Adr : std_logic_vector;
  19. Dat : std_logic_vector;
  20. Sel : std_logic_vector;
  21. Tgc : std_logic_vector;
  22. Tga : std_logic_vector;
  23. Tgd : std_logic_vector;
  24. end record;
  25. type t_wb_slave is record
  26. Ack : std_logic;
  27. Err : std_logic;
  28. Rty : std_logic;
  29. Dat : std_logic_vector;
  30. Tgd : std_logic_vector;
  31. end record;
  32. end package wishbone_pkg;