2 Commits

2 changed files with 6 additions and 6 deletions
Split View
  1. +1
    -1
      pyuvm_tests/VaiBfm.py
  2. +5
    -5
      pyuvm_tests/tb_aes.py

+ 1
- 1
pyuvm_tests/VaiBfm.py View File

@ -27,7 +27,7 @@ class VaiBfm(metaclass=pyuvm.Singleton):
def __init__(self):
self.log = logging.getLogger()
self.log.info("Valid-accept BFM")
self.log.info(" Copyright (c) 2022 Torsten Meissner")
self.log.info(" Copyright (c) 2024 Torsten Meissner")
self.dut = cocotb.top
self.driver_queue = Queue(maxsize=1)
self.in_monitor_queue = Queue(maxsize=0)


+ 5
- 5
pyuvm_tests/tb_aes.py View File

@ -171,14 +171,14 @@ class Scoreboard(uvm_component):
reference = aes.decrypt(data.buff)
if result.buff == reference:
self.logger.info(
f"PASSED: {Mode(mode).name} {data.hex()} with key "
f"{key.hex()} = {result.hex()}"
f"PASSED: {Mode(mode).name} 0x{data.integer:032x} with key "
f"0x{key.integer:032x} = 0x{result.integer:032x}"
)
else:
self.logger.error(
f"FAILED: {Mode(mode).name} {data.hex()} with key "
f"{key.hex()} = 0x{result.hex()}, "
f"expected {reference.hex()}"
f"FAILED: {Mode(mode).name} 0x{data.integer:032x} with key "
f"0x{key.integer:032x} = 0x{result.integer:032x}, "
f"expected 0x{int.from_bytes(reference, 'big'):032x}"
)
self.passed = False


Loading…
Cancel
Save