cryptography ip-cores in vhdl / verilog
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

41 lines
1.4 KiB

  1. # ======================================================================
  2. # AES encryption/decryption
  3. # algorithm according to FIPS 197 specification
  4. # Copyright (C) 2011 Torsten Meissner
  5. #-----------------------------------------------------------------------
  6. # This program is free software; you can redistribute it and/or modify
  7. # it under the terms of the GNU General Public License as published by
  8. # the Free Software Foundation; either version 2 of the License, or
  9. # (at your option) any later version.
  10. # This program is distributed in the hope that it will be useful,
  11. # but WITHOUT ANY WARRANTY; without even the implied warranty of
  12. # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  13. # GNU General Public License for more details.
  14. # You should have received a copy of the GNU General Public License
  15. # along with this program; if not, write to the Free Software
  16. # Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
  17. # ======================================================================
  18. all : sim wave
  19. sim : tb_aes.ghw
  20. compile : ../../rtl/vhdl/*.vhd tb_aes.vhd
  21. ghdl -a --std=08 ../../rtl/vhdl/aes_pkg.vhd ../../rtl/vhdl/aes.vhd tb_aes.vhd
  22. ghdl -e --std=08 tb_aes
  23. tb_aes.ghw : compile
  24. ghdl -r tb_aes --wave=tb_aes.ghw --assert-level=error --stop-time=10us
  25. wave : tb_aes.ghw
  26. gtkwave -S tb_aes.tcl tb_aes.ghw
  27. clean :
  28. echo "# cleaning simulation files"
  29. rm -f tb_aes
  30. rm -f tb_aes.ghw
  31. rm -f *.cf
  32. rm -f *.o