cryptography ip-cores in vhdl / verilog
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

40 lines
1.4 KiB

  1. # ======================================================================
  2. # DES encryption/decryption
  3. # algorithm according to FIPS 46-3 specification
  4. # Copyright (C) 2011 Torsten Meissner
  5. #-----------------------------------------------------------------------
  6. # This program is free software; you can redistribute it and/or modify
  7. # it under the terms of the GNU General Public License as published by
  8. # the Free Software Foundation; either version 2 of the License, or
  9. # (at your option) any later version.
  10. # This program is distributed in the hope that it will be useful,
  11. # but WITHOUT ANY WARRANTY; without even the implied warranty of
  12. # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  13. # GNU General Public License for more details.
  14. # You should have received a copy of the GNU General Public License
  15. # along with this program; if not, write to the Free Software
  16. # Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
  17. # ======================================================================
  18. # Revision 1.0 2011/09/17
  19. # Initial release
  20. all : sim wave
  21. sim : tb_des.ghw
  22. tb_des.ghw : ../rtl/*.vhd tb_des.vhd
  23. ghdl -a ../rtl/des_pkg.vhd ../rtl/des.vhd tb_des.vhd
  24. ghdl -e tb_des
  25. ghdl -r tb_des --wave=tb_des.ghw --stop-time=1400ns
  26. wave : tb_des.ghw
  27. gtkwave tb_des.ghw
  28. clean :
  29. echo "# cleaning simulation files"
  30. rm -f tb_des.ghw
  31. rm -f work*.cf