Browse Source

adapted on the initial release of the testbench

master
Torsten Meissner 13 years ago
parent
commit
4b59f1b69d
1 changed files with 1 additions and 1 deletions
  1. +1
    -1
      des/sim/makefile

+ 1
- 1
des/sim/makefile View File

@ -30,7 +30,7 @@ sim : tb_des.ghw
tb_des.ghw : ../rtl/*.vhd tb_des.vhd
ghdl -a ../rtl/des_pkg.vhd ../rtl/des.vhd tb_des.vhd
ghdl -e tb_des
ghdl -r tb_des --wave=tb_des.ghw --stop-time=1us
ghdl -r tb_des --wave=tb_des.ghw --stop-time=1400ns
wave : tb_des.ghw
gtkwave tb_des.ghw


Loading…
Cancel
Save