cryptography ip-cores in vhdl / verilog
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

242 lines
12 KiB

  1. -- ======================================================================
  2. -- DES encryption/decryption
  3. -- package file with functions
  4. -- Copyright (C) 2007 Torsten Meissner
  5. -------------------------------------------------------------------------
  6. -- This program is free software; you can redistribute it and/or modify
  7. -- it under the terms of the GNU General Public License as published by
  8. -- the Free Software Foundation; either version 2 of the License, or
  9. -- (at your option) any later version.
  10. -- This program is distributed in the hope that it will be useful,
  11. -- but WITHOUT ANY WARRANTY; without even the implied warranty of
  12. -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  13. -- GNU General Public License for more details.
  14. -- You should have received a copy of the GNU General Public License
  15. -- along with this program; if not, write to the Free Software
  16. -- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
  17. -- ======================================================================
  18. library ieee;
  19. use ieee.std_logic_1164.all;
  20. use ieee.numeric_std.all;
  21. package des_pkg is
  22. type ip_matrix is array (0 to 63) of natural range 0 to 63;
  23. constant ip_table : ip_matrix := (57, 49, 41, 33, 25, 17, 9, 1,
  24. 59, 51, 43, 35, 27, 19, 11, 3,
  25. 61, 53, 45, 37, 29, 21, 13, 5,
  26. 63, 55, 47, 39, 31, 23, 15, 7,
  27. 56, 48, 40, 32, 24, 16, 8, 0,
  28. 58, 50, 42, 34, 26, 18, 10, 2,
  29. 60, 52, 44, 36, 28, 20, 12, 4,
  30. 62, 54, 46, 38, 30, 22, 14, 6);
  31. constant ipn_table : ip_matrix := (39, 7, 47, 15, 55, 23, 63, 31,
  32. 38, 6, 46, 14, 54, 22, 62, 30,
  33. 37, 5, 45, 13, 53, 21, 61, 29,
  34. 36, 4, 44, 12, 52, 20, 60, 28,
  35. 35, 3, 43, 11, 51, 19, 59, 27,
  36. 34, 2, 42, 10, 50, 18, 58, 26,
  37. 33, 1, 41, 9, 49, 17, 57, 25,
  38. 32, 0, 40, 8, 48, 16, 56, 24);
  39. type e_matrix is array (0 to 47) of natural range 0 to 31;
  40. constant e_table : e_matrix := (31, 0, 1, 2, 3, 4,
  41. 3, 4, 5, 6, 7, 8,
  42. 7, 8, 9, 10, 11, 12,
  43. 11, 12, 13, 14, 15, 16,
  44. 15, 16, 17, 18, 19, 20,
  45. 19, 20, 21, 22, 23, 24,
  46. 23, 24, 25, 26, 27, 28,
  47. 27, 28, 29, 30, 31, 0);
  48. type s_matrix is array (0 to 3, 0 to 15) of integer range 0 to 15;
  49. constant s1_table : s_matrix := (0 => (14, 4, 13, 1, 2, 15, 11, 8, 3, 10, 6, 12, 5, 9, 0, 7),
  50. 1 => ( 0, 15, 7, 4, 14, 2, 13, 1, 10, 6, 12, 11, 9, 5, 3, 8),
  51. 2 => ( 4, 1, 14, 8, 13, 6, 2, 11, 15, 12, 9, 7, 3, 10, 5, 0),
  52. 3 => (15, 12, 8, 2, 4, 9, 1, 7, 5, 11, 3, 14, 10, 0, 6, 13));
  53. constant s2_table : s_matrix := (0 => (15, 1, 8, 14, 6, 11, 3, 4, 9, 7, 2, 13, 12, 0, 5, 10),
  54. 1 => ( 3, 13, 4, 7, 15, 2, 8, 14, 12, 0, 1, 10, 6, 9, 11, 5),
  55. 2 => ( 0, 14, 7, 11, 10, 4, 13, 1, 5, 8, 12, 6, 9, 3, 2, 15),
  56. 3 => (13, 8, 10, 1, 3, 15, 4, 2, 11, 6, 7, 12, 0, 5, 14, 9));
  57. constant s3_table : s_matrix := (0 => (10, 0, 9, 14, 6, 3, 15, 5, 1, 13, 12, 7, 11, 4, 2, 8),
  58. 1 => (13, 7, 0, 9, 3, 4, 6, 10, 2, 8, 5, 14, 12, 11, 15, 1),
  59. 2 => (13, 6, 4, 9, 8, 15, 3, 0, 11, 1, 2, 12, 5, 10, 14, 7),
  60. 3 => ( 1, 10, 13, 0, 6, 9, 8, 7, 4, 15, 14, 3, 11, 5, 2, 12));
  61. constant s4_table : s_matrix := (0 => ( 7, 13, 14, 3, 0, 6, 9, 10, 1, 2, 8, 5, 11, 12, 4, 15),
  62. 1 => (13, 8, 11, 5, 6, 15, 0, 3, 4, 7, 2, 12, 1, 10, 14, 9),
  63. 2 => (10, 6, 9, 0, 12, 11, 7, 13, 15, 1, 3, 14, 5, 2, 8, 4),
  64. 3 => ( 3, 15, 0, 6, 10, 1, 13, 8, 9, 4, 5, 11, 12, 7, 2, 14));
  65. constant s5_table : s_matrix := (0 => ( 2, 12, 4, 1, 7, 10, 11, 6, 8, 5, 3, 15, 13, 0, 14, 9),
  66. 1 => (14, 11, 2, 12, 4, 7, 13, 1, 5, 0, 15, 10, 3, 9, 8, 6),
  67. 2 => ( 4, 2, 1, 11, 10, 13, 7, 8, 15, 9, 12, 5, 6, 3, 0, 14),
  68. 3 => (11, 8, 12, 7, 1, 14, 2, 13, 6, 15, 0, 9, 10, 4, 5, 3));
  69. constant s6_table : s_matrix := (0 => (12, 1, 10, 15, 9, 2, 6, 8, 0, 13, 3, 4, 14, 7, 5, 11),
  70. 1 => (10, 15, 4, 2, 7, 12, 9, 5, 6, 1, 13, 14, 0, 11, 3, 8),
  71. 2 => ( 9, 14, 15, 5, 2, 8, 12, 3, 7, 0, 4, 10, 1, 13, 11, 6),
  72. 3 => ( 4, 3, 2, 12, 9, 5, 15, 10, 11, 14, 1, 7, 6, 0, 8, 13));
  73. constant s7_table : s_matrix := (0 => ( 4, 11, 2, 14, 15, 0, 8, 13, 3, 12, 9, 7, 5, 10, 6, 1),
  74. 1 => (13, 0, 11, 7, 4, 9, 1, 10, 14, 3, 5, 12, 2, 15, 8, 6),
  75. 2 => ( 1, 4, 11, 13, 12, 3, 7, 14, 10, 15, 6, 8, 0, 5, 9, 2),
  76. 3 => ( 6, 11, 13, 8, 1, 4, 10, 7, 9, 5, 0, 15, 14, 2, 3, 12));
  77. constant s8_table : s_matrix := (0 => (13, 2, 8, 4, 6, 15, 11, 1, 10, 9, 3, 14, 5, 0, 12, 7),
  78. 1 => ( 1, 15, 13, 8, 10, 3, 7, 4, 12, 5, 6, 11, 0, 14, 9, 2),
  79. 2 => ( 7, 11, 4, 1, 9, 12, 14, 2, 0, 6, 10, 13, 15, 3, 5, 8),
  80. 3 => ( 2, 1, 14, 7, 4, 10, 8, 13, 15, 12, 9, 0, 3, 5, 6, 11));
  81. type pc_matrix is array (0 to 27) of natural range 0 to 63;
  82. constant pc1c_table : pc_matrix := (56, 48, 40, 32, 24, 16, 8,
  83. 0, 57, 49, 41, 33, 25, 17,
  84. 9, 1, 58, 50, 42, 34, 26,
  85. 18, 10, 2, 59, 51, 43, 35);
  86. constant pc1d_table : pc_matrix := (62, 54, 46, 38, 30, 22, 14,
  87. 6, 61, 53, 45, 37, 29, 21,
  88. 13, 5, 60, 52, 44, 36, 28,
  89. 20, 12, 4, 27, 19, 11, 3);
  90. type p_matrix is array (0 to 31) of natural range 0 to 31;
  91. constant p_table : p_matrix := (15, 6, 19, 20,
  92. 28, 11, 27, 16,
  93. 0, 14, 22, 25,
  94. 4, 17, 30, 9,
  95. 1, 7, 23, 13,
  96. 31, 26, 2, 8,
  97. 18, 12, 29, 5,
  98. 21, 10, 3, 24);
  99. type pc2_matrix is array (0 to 47) of natural range 0 to 63;
  100. constant pc2_table : pc2_matrix := (13, 16, 10, 23, 0, 4,
  101. 2, 27, 14, 5, 20, 9,
  102. 22, 18, 11, 3, 25, 7,
  103. 15, 6, 26, 19, 12, 1,
  104. 40, 51, 30, 36, 46, 54,
  105. 29, 39, 50, 44, 32, 47,
  106. 43, 48, 38, 55, 33, 52,
  107. 45, 41, 49, 35, 28, 31);
  108. function ip ( input_vector : std_logic_vector(0 to 63) ) return std_logic_vector;
  109. function ipn ( input_vector : std_logic_vector(0 to 63) ) return std_logic_vector;
  110. function e (input_vector : std_logic_vector(0 to 31) ) return std_logic_vector;
  111. function p (input_vector : std_logic_vector(0 to 31) ) return std_logic_vector;
  112. function s (input_vector : std_logic_vector(0 to 5); s_table : s_matrix ) return std_logic_vector;
  113. function f (input_r : std_logic_vector(0 to 31); input_key : std_logic_vector(0 to 47) ) return std_logic_vector;
  114. function pc1_c ( input_vector : std_logic_vector(0 to 63) ) return std_logic_vector;
  115. function pc1_d ( input_vector : std_logic_vector(0 to 63) ) return std_logic_vector;
  116. function pc2 ( input_vector : std_logic_vector(0 to 55) ) return std_logic_vector;
  117. end package des_pkg;
  118. package body des_pkg is
  119. function ip ( input_vector : std_logic_vector(0 to 63) ) return std_logic_vector is
  120. variable result : std_logic_vector(0 to 63);
  121. begin
  122. for index IN 0 to 63 loop
  123. result( index ) := input_vector( ip_table( index ) );
  124. end loop;
  125. return result;
  126. end function ip;
  127. function ipn ( input_vector : std_logic_vector(0 to 63) ) return std_logic_vector is
  128. variable result : std_logic_vector(0 to 63);
  129. begin
  130. for index IN 0 to 63 loop
  131. result( index ) := input_vector( ipn_table( index ) );
  132. end loop;
  133. return result;
  134. end function ipn;
  135. function e (input_vector : std_logic_vector(0 to 31) ) return std_logic_vector is
  136. variable result : std_logic_vector(0 to 47);
  137. begin
  138. for index IN 0 to 47 loop
  139. result( index ) := input_vector( e_table( index ) );
  140. end loop;
  141. return result;
  142. end function e;
  143. function s ( input_vector : std_logic_vector(0 to 5); s_table : s_matrix ) return std_logic_vector is
  144. variable int : std_logic_vector(0 to 1);
  145. variable i : integer range 0 to 3;
  146. variable j : integer range 0 to 15;
  147. variable result : std_logic_vector(0 to 3);
  148. begin
  149. int := input_vector( 0 ) & input_vector( 5 );
  150. i := to_integer( unsigned( int ) );
  151. j := to_integer( unsigned( input_vector( 1 to 4) ) );
  152. result := std_logic_vector( to_unsigned( s_table( i, j ), 4 ) );
  153. return result;
  154. end function s;
  155. function p (input_vector : std_logic_vector(0 to 31) ) return std_logic_vector is
  156. variable result : std_logic_vector(0 to 31);
  157. begin
  158. for index IN 0 to 31 loop
  159. result( index ) := input_vector( p_table( index ) );
  160. end loop;
  161. return result;
  162. end function p;
  163. function f (input_r : std_logic_vector(0 to 31); input_key : std_logic_vector(0 to 47) ) return std_logic_vector is
  164. variable intern : std_logic_vector(0 to 47);
  165. variable result : std_logic_vector(0 to 31);
  166. begin
  167. intern := e( input_r ) xor input_key;
  168. result := p( s( intern(0 to 5), s1_table ) & s( intern(6 to 11), s2_table ) & s( intern(12 to 17), s3_table ) &
  169. s( intern(18 to 23), s4_table ) & s( intern(24 to 29), s5_table ) & s( intern(30 to 35), s6_table ) &
  170. s( intern(36 to 41), s7_table ) & s( intern(42 to 47), s8_table ) );
  171. return result;
  172. end function f;
  173. function pc1_c ( input_vector : std_logic_vector(0 to 63) ) return std_logic_vector is
  174. variable result : std_logic_vector(0 to 27);
  175. begin
  176. for index IN 0 to 27 loop
  177. result( index ) := input_vector( pc1c_table( index ) );
  178. end loop;
  179. return result;
  180. end function pc1_c;
  181. function pc1_d ( input_vector : std_logic_vector(0 to 63) ) return std_logic_vector is
  182. variable result : std_logic_vector(0 to 27);
  183. begin
  184. for index IN 0 to 27 loop
  185. result( index ) := input_vector( pc1d_table( index ) );
  186. end loop;
  187. return result;
  188. end function pc1_d;
  189. function pc2 ( input_vector : std_logic_vector(0 to 55) ) return std_logic_vector is
  190. variable result : std_logic_vector(0 to 47);
  191. begin
  192. for index IN 0 to 47 loop
  193. result( index ) := input_vector( pc2_table( index ) );
  194. end loop;
  195. return result;
  196. end function pc2;
  197. end package body des_pkg;