cryptography ip-cores in vhdl / verilog
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

54 lines
1.8 KiB

  1. # ======================================================================
  2. # DES encryption/decryption
  3. # algorithm according to FIPS 46-3 specification
  4. # Copyright (C) 2012 Torsten Meissner
  5. #-----------------------------------------------------------------------
  6. # This program is free software; you can redistribute it and/or modify
  7. # it under the terms of the GNU General Public License as published by
  8. # the Free Software Foundation; either version 2 of the License, or
  9. # (at your option) any later version.
  10. # This program is distributed in the hope that it will be useful,
  11. # but WITHOUT ANY WARRANTY; without even the implied warranty of
  12. # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  13. # GNU General Public License for more details.
  14. # You should have received a copy of the GNU General Public License
  15. # along with this program; if not, write to the Free Software
  16. # Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
  17. # ======================================================================
  18. SRC_FILES = ../../rtl/verilog/*.v tb_des.v
  19. SIM_FILES = data_input.txt key_input.txt data_output.txt
  20. .PHONY: all
  21. all : sim_pipe sim_iter
  22. .PHONY: sim_pipe
  23. sim_pipe : tb_des_pipe.vcd
  24. .PHONY: sim_iter
  25. sim_iter : tb_des_iter.vcd
  26. tb_des_pipe.vcd : $(SRC_FILES) $(SIM_FILES)
  27. iverilog -Wall -DPIPE -s tb_des -o tb_des_pipe tb_des.v ../../rtl/verilog/des.v
  28. vvp tb_des_pipe
  29. tb_des_iter.vcd : $(SRC_FILES) $(SIM_FILES)
  30. iverilog -Wall -DITER -s tb_des -o tb_des_iter tb_des.v ../../rtl/verilog/des.v
  31. vvp tb_des_iter
  32. .PHONY: wave_pipe
  33. wave_pipe : tb_des_pipe.vcd
  34. gtkwave -S tb_des.tcl tb_des_pipe.vcd &
  35. .PHONY: wave_iter
  36. wave_iter : tb_des_iter.vcd
  37. gtkwave -S tb_des.tcl tb_des_iter.vcd &
  38. .PHONY: clean
  39. clean :
  40. echo "# cleaning simulation files"
  41. rm -f tb_des_*
  42. rm -f tb_des.vcd