cryptography ip-cores in vhdl / verilog
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

48 lines
1.6 KiB

  1. # ======================================================================
  2. # TDES encryption/decryption
  3. # algorithm according to FIPS 46-3 specification
  4. # Copyright (C) 2011 Torsten Meissner
  5. #-----------------------------------------------------------------------
  6. # This program is free software; you can redistribute it and/or modify
  7. # it under the terms of the GNU General Public License as published by
  8. # the Free Software Foundation; either version 2 of the License, or
  9. # (at your option) any later version.
  10. # This program is distributed in the hope that it will be useful,
  11. # but WITHOUT ANY WARRANTY; without even the implied warranty of
  12. # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  13. # GNU General Public License for more details.
  14. # You should have received a copy of the GNU General Public License
  15. # along with this program; if not, write to the Free Software
  16. # Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
  17. # ======================================================================
  18. DES_SRC_FILES := ../../../des/rtl/vhdl/des_pkg.vhd ../../../des/rtl/vhdl/des.vhd
  19. TDES_SRC_FILES := ../../rtl/vhdl/tdes.vhd
  20. SRC_FILES := $(DES_SRC_FILES) $(TDES_SRC_FILES)
  21. VHD_STD := 08
  22. .PHONY: sim
  23. sim : tb_tdes.ghw
  24. .PHONY: all
  25. all : wave
  26. tb_des.o: $(SRC_FILES) tb_tdes.vhd
  27. ghdl -a --std=$(VHD_STD) $(SRC_FILES) tb_tdes.vhd
  28. tb_tdes.ghw : tb_des.o
  29. ghdl -e --std=$(VHD_STD) tb_tdes
  30. ghdl -r tb_tdes --wave=tb_tdes.ghw --assert-level=error --stop-time=45us
  31. wave : tb_tdes.ghw
  32. gtkwave -s tb_tdes.tcl tb_tdes.ghw
  33. clean :
  34. echo "# Cleaning files"
  35. rm -f *.ghw *.o tb_tdes work*.cf