Browse Source

beauty care

master
T. Meissner 10 years ago
parent
commit
8a7e15763a
2 changed files with 4 additions and 3 deletions
  1. +2
    -3
      aes/rtl/vhdl/aes.vhd
  2. +2
    -0
      aes/rtl/vhdl/aes_pkg.vhd

+ 2
- 3
aes/rtl/vhdl/aes.vhd View File

@ -26,10 +26,8 @@ use ieee.numeric_std.all;
use work.aes_pkg.all;
entity aes is
generic (
ovl_enable : in boolean
);
port (
reset_i : in std_logic; -- async reset
clk_i : in std_logic; -- clock
@ -43,6 +41,7 @@ entity aes is
end entity aes;
architecture rtl of aes is


+ 2
- 0
aes/rtl/vhdl/aes_pkg.vhd View File

@ -24,6 +24,7 @@ use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package aes_pkg is
@ -88,6 +89,7 @@ package aes_pkg is
end package aes_pkg;
package body aes_pkg is


Loading…
Cancel
Save