Browse Source

add removing of object files to clean target

master
T. Meissner 9 years ago
parent
commit
cfd20a9bbc
1 changed files with 3 additions and 2 deletions
  1. +3
    -2
      des/sim/vhdl/makefile

+ 3
- 2
des/sim/vhdl/makefile View File

@ -27,12 +27,13 @@ sim : tb_des.ghw
tb_des.ghw : ../../rtl/vhdl/*.vhd tb_des.vhd
ghdl -a ../../rtl/vhdl/des_pkg.vhd ../../rtl/vhdl/des.vhd tb_des.vhd
ghdl -e tb_des
ghdl -r tb_des --wave=tb_des.ghw --assert-level=error --stop-time=11us
ghdl -r tb_des --wave=tb_des.ghw --assert-level=error --stop-time=100us
wave : tb_des.ghw
gtkwave -S tb_des.tcl tb_des.ghw
clean :
echo "# cleaning simulation files"
rm -f *.o
rm -f tb_des.ghw
rm -f work*.cf

Loading…
Cancel
Save