Browse Source

longer simulation time

master
Torsten Meissner 13 years ago
parent
commit
e1980efa74
1 changed files with 2 additions and 2 deletions
  1. +2
    -2
      des/sim/makefile

+ 2
- 2
des/sim/makefile View File

@ -30,7 +30,7 @@ sim : tb_des.ghw
tb_des.ghw : ../rtl/*.vhd tb_des.vhd
ghdl -a ../rtl/des_pkg.vhd ../rtl/des.vhd tb_des.vhd
ghdl -e tb_des
ghdl -r tb_des --wave=tb_des.ghw --stop-time=1400ns
ghdl -r tb_des --wave=tb_des.ghw --stop-time=3us
wave : tb_des.ghw
gtkwave tb_des.ghw
@ -38,4 +38,4 @@ wave : tb_des.ghw
clean :
echo "# cleaning simulation files"
rm -f tb_des.ghw
rm -f work*.cf
rm -f work*.cf

Loading…
Cancel
Save