Browse Source

integrated tcl-file into gtkwave starting parameters

master
Torsten Meissner 13 years ago
parent
commit
fbd8c111f5
1 changed files with 1 additions and 1 deletions
  1. +1
    -1
      des/sim/makefile

+ 1
- 1
des/sim/makefile View File

@ -33,7 +33,7 @@ tb_des.ghw : ../rtl/*.vhd tb_des.vhd
ghdl -r tb_des --wave=tb_des.ghw --assert-level=error --stop-time=11us
wave : tb_des.ghw
gtkwave tb_des.ghw
gtkwave -T tb_des.tcl tb_des.ghw
clean :
echo "# cleaning simulation files"


Loading…
Cancel
Save