Browse Source

Simplify signal generation

verific
T. Meissner 5 years ago
parent
commit
b48e99c1f0
1 changed files with 1 additions and 2 deletions
  1. +1
    -2
      vai_reg/vai_reg.vhd

+ 1
- 2
vai_reg/vai_reg.vhd View File

@ -50,8 +50,7 @@ architecture rtl of vai_reg is
begin begin
s_dout_accepted <= true when DoutValid_o = '1' and DoutAccept_i = '1' else
false;
s_dout_accepted <= (DoutValid_o and DoutAccept_i) = '1';
process (Reset_n_i, Clk_i) is process (Reset_n_i, Clk_i) is


Loading…
Cancel
Save