|
@ -33,8 +33,8 @@ architecture rtl of uart_aes is |
|
|
signal s_pll_clk : std_logic; |
|
|
signal s_pll_clk : std_logic; |
|
|
signal s_pll_lock : std_logic; |
|
|
signal s_pll_lock : std_logic; |
|
|
|
|
|
|
|
|
signal s_rst_n : std_logic; |
|
|
|
|
|
signal s_cfg_end : std_logic; |
|
|
|
|
|
|
|
|
signal s_rst_n : std_logic; |
|
|
|
|
|
signal s_usr_rstn : std_logic; |
|
|
|
|
|
|
|
|
signal s_uart_rx_tdata : std_logic_vector(7 downto 0); |
|
|
signal s_uart_rx_tdata : std_logic_vector(7 downto 0); |
|
|
signal s_uart_rx_tvalid : std_logic; |
|
|
signal s_uart_rx_tvalid : std_logic; |
|
@ -71,9 +71,9 @@ begin |
|
|
CLK_REF_OUT => open |
|
|
CLK_REF_OUT => open |
|
|
); |
|
|
); |
|
|
|
|
|
|
|
|
cfg_end_inst : CC_CFG_END |
|
|
|
|
|
|
|
|
cc_usr_rstn_inst : CC_USR_RSTN |
|
|
port map ( |
|
|
port map ( |
|
|
CFG_END => s_cfg_end |
|
|
|
|
|
|
|
|
USR_RSTN => s_usr_rstn |
|
|
); |
|
|
); |
|
|
|
|
|
|
|
|
uart_rx : entity work.uart_rx |
|
|
uart_rx : entity work.uart_rx |
|
@ -144,7 +144,7 @@ begin |
|
|
tx_o => uart_tx_o |
|
|
tx_o => uart_tx_o |
|
|
); |
|
|
); |
|
|
|
|
|
|
|
|
s_rst_n <= rst_n_i and s_pll_lock and s_cfg_end; |
|
|
|
|
|
|
|
|
s_rst_n <= rst_n_i and s_pll_lock and s_usr_rstn; |
|
|
|
|
|
|
|
|
-- Lets some LEDs blink |
|
|
-- Lets some LEDs blink |
|
|
led_n_o(0) <= rst_n_i; -- reset button |
|
|
led_n_o(0) <= rst_n_i; -- reset button |
|
|