Browse Source

removed, using string function of VHDL-08 instead

pull/1/head
T. Meissner 10 years ago
parent
commit
54168ab07e
1 changed files with 0 additions and 34 deletions
  1. +0
    -34
      test/StringT.vhd

+ 0
- 34
test/StringT.vhd View File

@ -1,34 +0,0 @@
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library libvhdl;
use libvhdl.StringP.all;
use libvhdl.AssertP.all;
entity StringT is
end entity StringT;
architecture sim of StringT is
begin
StringTestP : process is
variable v_data : std_logic_vector(31 downto 0) := x"DEADBEEF";
variable v_data_reverse : std_logic_vector(0 to 31) := x"DEADBEEF";
begin
assert_equal(to_string(v_data(0)), "1");
assert_equal(to_string(v_data), "11011110101011011011111011101111");
assert_equal(to_string(v_data_reverse), "11011110101011011011111011101111");
report "INFO: StringP tests finished successfully";
wait;
end process StringTestP;
end architecture sim;

Loading…
Cancel
Save