Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

79 lines
2.3 KiB

  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use work.pkg.all;
  4. entity psl_next_a is
  5. port (
  6. clk : in std_logic
  7. );
  8. end entity psl_next_a;
  9. architecture psl of psl_next_a is
  10. signal a, b : std_logic;
  11. signal c, d : std_logic;
  12. signal e, f : std_logic;
  13. signal g, h : std_logic;
  14. signal i, j : std_logic;
  15. signal k, l : std_logic;
  16. begin
  17. -- 01234567890
  18. SEQ_A : sequencer generic map ("__-_-______") port map (clk, a);
  19. SEQ_B : sequencer generic map ("_____-_-___") port map (clk, b);
  20. -- 01234567890
  21. SEQ_C : sequencer generic map ("__-_-______") port map (clk, c);
  22. SEQ_D : sequencer generic map ("_____-_____") port map (clk, d);
  23. -- 01234567890
  24. SEQ_E : sequencer generic map ("__-_-______") port map (clk, e);
  25. SEQ_F : sequencer generic map ("_____-----_") port map (clk, f);
  26. -- 01234567890
  27. SEQ_G : sequencer generic map ("__-_-______") port map (clk, g);
  28. SEQ_H : sequencer generic map ("_____-_---_") port map (clk, h);
  29. -- 012345678901
  30. SEQ_I : sequencer generic map ("__-_-_______") port map (clk, i);
  31. SEQ_J : sequencer generic map ("_____-__-___") port map (clk, j);
  32. -- 0123456789
  33. SEQ_K : sequencer generic map ("__-_-_____") port map (clk, k);
  34. SEQ_L : sequencer generic map ("_______-__") port map (clk, l);
  35. -- All is sensitive to rising edge of clk
  36. default clock is rising_edge(clk);
  37. -- This assertion doesn't hold at cycle 6
  38. NEXT_0_a : assert always (a -> next_a[3 to 5] (b));
  39. -- This assertion doesn't hold at cycle 6
  40. NEXT_1_a : assert always (c -> next_a[3 to 5] (d));
  41. -- This assertion holds
  42. NEXT_2_a : assert always (e -> next_a[3 to 5] (f));
  43. -- This assertion doesn't hold at cycle 6
  44. NEXT_3_a : assert always (g -> next_a[3 to 5] (h));
  45. -- This assertion doesn't hold at cycle 6
  46. NEXT_4_a : assert always (i -> next_a[3 to 5] (j));
  47. -- This assertion doesn't hold at cycle 5
  48. NEXT_5_a : assert always (k -> next_a[3 to 5] (l));
  49. -- Stop simulation after longest running sequencer is finished
  50. -- Simulation only code by using pragmas
  51. -- synthesis translate_off
  52. stop_sim(clk, 12);
  53. -- synthesis translate_on
  54. end architecture psl;