Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

18 lines
248 B

  1. [tasks]
  2. bmc
  3. [options]
  4. depth 25
  5. bmc: mode bmc
  6. [engines]
  7. bmc: smtbmc z3
  8. [script]
  9. bmc: ghdl --std=08 pkg.vhd sequencer.vhd psl_property.vhd -e psl_property
  10. prep -top psl_property
  11. [files]
  12. ../src/pkg.vhd
  13. ../src/sequencer.vhd
  14. ../src/psl_property.vhd