Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

33 lines
644 B

  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.numeric_std.all;
  4. use work.pkg.all;
  5. entity psl_vunit_inherit is
  6. port (
  7. clk : in std_logic
  8. );
  9. end entity psl_vunit_inherit;
  10. architecture beh of psl_vunit_inherit is
  11. signal a, b : std_logic;
  12. begin
  13. -- 012345
  14. SEQ_A : sequencer generic map ("--____") port map (clk, a);
  15. SEQ_B : sequencer generic map ("_-____") port map (clk, b);
  16. -- Stop simulation after longest running sequencer is finished
  17. -- Simulation only code by using pragmas
  18. -- synthesis translate_off
  19. stop_sim(clk, 6);
  20. -- synthesis translate_on
  21. end architecture beh;