Browse Source

Add example for |-> (overlapping suffix implication) operator

* Add example for |-> operator
* Add formal test for |-> operator example
* Add |-> to supported list
master
T. Meissner 4 years ago
parent
commit
3b669b037b
4 changed files with 60 additions and 1 deletions
  1. +1
    -0
      README.md
  2. +18
    -0
      formal/psl_sere_overlapping_suffix_impl.sby
  3. +2
    -1
      formal/tests.mk
  4. +39
    -0
      src/psl_sere_overlapping_suffix_impl.vhd

+ 1
- 0
README.md View File

@ -46,6 +46,7 @@ The next lists will grow during further development
### Sequential Extended Regular Expressions (SERE style)
* Simple SERE
* Overlapping suffix implication operator
## PSL features not yet supported by GHDL:


+ 18
- 0
formal/psl_sere_overlapping_suffix_impl.sby View File

@ -0,0 +1,18 @@
[tasks]
prove
[options]
depth 25
prove: mode bmc
[engines]
prove: smtbmc z3
[script]
prove: ghdl --std=08 pkg.vhd sequencer.vhd psl_sere_overlapping_suffix_impl.vhd -e psl_sere_overlapping_suffix_impl
prep -top psl_sere_overlapping_suffix_impl
[files]
../src/pkg.vhd
../src/sequencer.vhd
../src/psl_sere_overlapping_suffix_impl.vhd

+ 2
- 1
formal/tests.mk View File

@ -13,4 +13,5 @@ psl_next_event_a \
psl_until \
psl_before \
psl_eventually \
psl_sere
psl_sere \
psl_sere_overlapping_suffix_impl

+ 39
- 0
src/psl_sere_overlapping_suffix_impl.vhd View File

@ -0,0 +1,39 @@
library ieee;
use ieee.std_logic_1164.all;
use work.pkg.all;
entity psl_sere_overlapping_suffix_impl is
port (
clk : in std_logic
);
end entity psl_sere_overlapping_suffix_impl;
architecture psl of psl_sere_overlapping_suffix_impl is
signal a, b : std_logic;
begin
-- 012345
SEQ_A : sequencer generic map ("--___-___") port map (clk, a);
SEQ_B : sequencer generic map ("_-____-__") port map (clk, b);
-- All is sensitive to rising edge of clk
default clock is rising_edge(clk);
-- This assertion holds
SERE_0_a : assert always {a; a} |-> {a and b};
-- This assertion doesn't hold at cycle 2
SERE_1_a : assert always {a; a} |-> next {a and b};
-- This assertion holds
SERE_2_a : assert always {not a; a} |-> next {b};
end architecture psl;

Loading…
Cancel
Save