Browse Source

Add example for SERE concatenation (;) operator

master
T. Meissner 4 years ago
parent
commit
521faf5414
5 changed files with 76 additions and 0 deletions
  1. +1
    -0
      README.md
  2. +18
    -0
      formal/psl_sere_concat.sby
  3. +1
    -0
      formal/tests.mk
  4. +1
    -0
      sim/tests.mk
  5. +55
    -0
      src/psl_sere_concat.vhd

+ 1
- 0
README.md View File

@ -46,6 +46,7 @@ The next lists will grow during further development
### Sequential Extended Regular Expressions (SERE style)
* Simple SERE
* Concatenation operator (`;`)
* Fusion operator (`:`)
* Overlapping suffix implication operator (`|->`)
* Non overlapping suffix implication operator (`|=>`)


+ 18
- 0
formal/psl_sere_concat.sby View File

@ -0,0 +1,18 @@
[tasks]
bmc
[options]
depth 25
bmc: mode bmc
[engines]
bmc: smtbmc z3
[script]
bmc: ghdl --std=08 pkg.vhd sequencer.vhd psl_sere_concat.vhd -e psl_sere_concat
prep -top psl_sere_concat
[files]
../src/pkg.vhd
../src/sequencer.vhd
../src/psl_sere_concat.vhd

+ 1
- 0
formal/tests.mk View File

@ -24,5 +24,6 @@ psl_sere_within \
psl_sere_or \
psl_sere_len_matching_and \
psl_sere_non_len_matching_and \
psl_sere_concat \
psl_sere_fusion \
psl_prev

+ 1
- 0
sim/tests.mk View File

@ -24,4 +24,5 @@ psl_sere_within \
psl_sere_or \
psl_sere_len_matching_and \
psl_sere_non_len_matching_and \
psl_sere_concat \
psl_sere_fusion

+ 55
- 0
src/psl_sere_concat.vhd View File

@ -0,0 +1,55 @@
library ieee;
use ieee.std_logic_1164.all;
use work.pkg.all;
entity psl_sere_concat is
port (
clk : in std_logic
);
end entity psl_sere_concat;
architecture psl of psl_sere_concat is
signal req, avalid, busy, adone, data, ddone : std_logic;
begin
-- 01234567890123
SEQ_REQ : sequencer generic map ("_-____________") port map (clk, req);
SEQ_AVALID : sequencer generic map ("__-___________") port map (clk, avalid);
SEQ_BUSY : sequencer generic map ("___-_--_______") port map (clk, busy);
SEQ_ADONE : sequencer generic map ("_______-______") port map (clk, adone);
SEQ_DATA : sequencer generic map ("________---___") port map (clk, data);
SEQ_DDONE : sequencer generic map ("___________-__") port map (clk, ddone);
-- All is sensitive to rising edge of clk
default clock is rising_edge(clk);
-- SERE concatenation operator
-- RHS starts at one cycle cycle that the LHS ends
-- This assertion holds
SERE_0_a : assert always {req} |=> {{avalid; busy[->3]; adone}; {data[->3]; ddone}};
-- SERE concatenation operator
-- RHS starts at one cycle cycle that the LHS ends
-- This cover holds at cycle 7
SERE_0_c : cover {req; avalid; busy[->3]; adone} report "Address phase completed";
-- SERE concatenation operator
-- RHS starts at one cycle cycle that the LHS ends
-- This cover holds at cycle 11
SERE_1_c : cover {data[->3]; ddone} report "Data phase completed";
-- Stop simulation after longest running sequencer is finished
-- Simulation only code by using pragmas
-- synthesis translate_off
stop_sim(clk, 13);
-- synthesis translate_on
end architecture psl;

Loading…
Cancel
Save