Browse Source

generate constructs in PSL vunits fixed (ghdl/ghdl#1372)

master
T. Meissner 4 years ago
parent
commit
53b5fcdf6f
3 changed files with 9 additions and 1 deletions
  1. +4
    -0
      README.md
  2. +3
    -0
      issues/issue_1372.vhd
  3. +2
    -1
      issues/tests.mk

+ 4
- 0
README.md View File

@ -65,6 +65,10 @@ The next lists will grow during further development
* `rose()` function (Synthesis only, see [rose() example](https://github.com/tmeissner/psl_with_ghdl/blob/master/src/psl_rose.vhd))
* `fell()` function (Synthesis only, see [fell() example](https://github.com/tmeissner/psl_with_ghdl/blob/master/src/psl_fell.vhd))
### Convenient stuff
* Partial support of PSL vunits in synthesis
## Not yet supported by GHDL:
* `forall` statement


+ 3
- 0
issues/issue_1372.vhd View File

@ -31,4 +31,7 @@ architecture psl of issue is
begin
a <= '1';
b <= a;
end architecture psl;

+ 2
- 1
issues/tests.mk View File

@ -6,4 +6,5 @@ issue_1321 \
issue_1322 \
issue_1345 \
issue_1366 \
issue_1367
issue_1367 \
issue_1372

Loading…
Cancel
Save