Browse Source

Remove unused code from issue_1372

master
T. Meissner 4 years ago
parent
commit
74b3bda2de
1 changed files with 0 additions and 12 deletions
  1. +0
    -12
      issues/issue_1372.vhd

+ 0
- 12
issues/issue_1372.vhd View File

@ -16,7 +16,6 @@ vunit issue_vunit (issue(psl)) {
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity issue is
@ -28,19 +27,8 @@ end entity issue;
architecture psl of issue is
component sequencer is
generic (
seq : string
);
port (
clk : in std_logic;
data : out std_logic
);
end component sequencer;
signal a, b : std_logic := '1';
begin
end architecture psl;

Loading…
Cancel
Save