Browse Source

Update of issue code for ghdl/ghdl#2178

master
T. Meissner 2 years ago
parent
commit
954adbeb68
1 changed files with 6 additions and 2 deletions
  1. +6
    -2
      issues/issue_2178.vhd

+ 6
- 2
issues/issue_2178.vhd View File

@ -70,11 +70,15 @@ begin
TEST_0 : cover seq(a, b) report "TEST_0 hit";
-- One could negate the parameter when calling the
-- sequence, but this is not very intuitive
TEST_1 : assert never seq(a, not b);
-- This doesnt work:
-- error: PSL declaration "a" not allowed in an expression
sequence seq1 (boolean d0) is {not d0; d0 = '1'};
sequence seq1 (boolean d0) is {not d0; d0 = true};
TEST_1 : cover seq1(a) report "TEST_1 hit";
TEST_2 : cover seq1(a) report "TEST_1 hit";
end architecture psl;


Loading…
Cancel
Save