Various projects using Raspberry Pi
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
T. Meissner ee5b5cc594 add LUT implementation of FiRo 10 years ago
..
EfbSpiSlave.vhd add EFB configured as SPI slave 10 years ago
FiRoCtrlE.vhd add check for RNG run time /= 0 10 years ago
FiRoE.vhd add LUT implementation of FiRo 10 years ago
RaspiFpgaCtrlE.vhd fixed ome bugs related to RNG integration; reg #1 & #2 are used to set the wait/run time of the RNG; reg #3 is RNG data register now 10 years ago
RaspiFpgaE.vhd add LUT implementation of FiRo 10 years ago