Browse Source

added removing of tb_tdes binary and *.o files in clean target

master
T. Meissner 10 years ago
parent
commit
1d858ce952
1 changed files with 3 additions and 1 deletions
  1. +3
    -1
      tdes/sim/vhdl/makefile

+ 3
- 1
tdes/sim/vhdl/makefile View File

@ -32,9 +32,11 @@ tb_tdes.ghw : $(SRC_FILES) tb_tdes.vhd
ghdl -r tb_tdes --wave=tb_tdes.ghw --assert-level=error --stop-time=45us ghdl -r tb_tdes --wave=tb_tdes.ghw --assert-level=error --stop-time=45us
wave : tb_tdes.ghw wave : tb_tdes.ghw
gtkwave -T tb_tdes.tcl tb_tdes.ghw
gtkwave -s tb_tdes.tcl tb_tdes.ghw
clean : clean :
echo "# cleaning simulation files" echo "# cleaning simulation files"
rm -f *.ghw rm -f *.ghw
rm -f *.o
rm -f tb_tdes
rm -f work*.cf rm -f work*.cf

Loading…
Cancel
Save