Browse Source

add removing of testbench binary to clean target

master
T. Meissner 9 years ago
parent
commit
393757693e
1 changed files with 1 additions and 0 deletions
  1. +1
    -0
      des/sim/vhdl/makefile

+ 1
- 0
des/sim/vhdl/makefile View File

@ -34,6 +34,7 @@ wave : tb_des.ghw
clean :
echo "# cleaning simulation files"
rm -f tb_des
rm -f *.o
rm -f tb_des.ghw
rm -f work*.cf

Loading…
Cancel
Save