Browse Source

moved vhdl testbench files into separate directory vhdl under sim

master
Torsten Meissner 12 years ago
parent
commit
3c878ff054
1 changed files with 0 additions and 38 deletions
  1. +0
    -38
      des/sim/makefile

+ 0
- 38
des/sim/makefile View File

@ -1,38 +0,0 @@
# ======================================================================
# DES encryption/decryption
# algorithm according to FIPS 46-3 specification
# Copyright (C) 2011 Torsten Meissner
#-----------------------------------------------------------------------
# This program is free software; you can redistribute it and/or modify
# it under the terms of the GNU General Public License as published by
# the Free Software Foundation; either version 2 of the License, or
# (at your option) any later version.
# This program is distributed in the hope that it will be useful,
# but WITHOUT ANY WARRANTY; without even the implied warranty of
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
# GNU General Public License for more details.
# You should have received a copy of the GNU General Public License
# along with this program; if not, write to the Free Software
# Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
# ======================================================================
all : sim wave
sim : tb_des.ghw
tb_des.ghw : ../rtl/*.vhd tb_des.vhd
ghdl -a ../rtl/des_pkg.vhd ../rtl/des.vhd tb_des.vhd
ghdl -e tb_des
ghdl -r tb_des --wave=tb_des.ghw --assert-level=error --stop-time=11us
wave : tb_des.ghw
gtkwave -T tb_des.tcl tb_des.ghw
clean :
echo "# cleaning simulation files"
rm -f tb_des.ghw
rm -f work*.cf

Loading…
Cancel
Save