Browse Source

expanded simulation time to 100 us for encryption testcases

master
Torsten Meissner 13 years ago
parent
commit
8909aa0d9a
1 changed files with 1 additions and 1 deletions
  1. +1
    -1
      cbcdes/sim/makefile

+ 1
- 1
cbcdes/sim/makefile View File

@ -30,7 +30,7 @@ sim : tb_cbcdes.ghw
tb_cbcdes.ghw : ../rtl/*.vhd tb_cbcdes.vhd
ghdl -a ../rtl/des_pkg.vhd ../rtl/des.vhd ../rtl/cbcdes.vhd tb_cbcdes.vhd
ghdl -e tb_cbcdes
ghdl -r tb_cbcdes --wave=tb_cbcdes.ghw --assert-level=error --stop-time=30us
ghdl -r tb_cbcdes --wave=tb_cbcdes.ghw --assert-level=error --stop-time=100us
wave : tb_cbcdes.ghw
gtkwave tb_cbcdes.ghw


Loading…
Cancel
Save