Browse Source

added prototype of addroundkey() function

master
T. Meissner 10 years ago
parent
commit
a83081760f
1 changed files with 2 additions and 0 deletions
  1. +2
    -0
      aes/rtl/vhdl/aes_pkg.vhd

+ 2
- 0
aes/rtl/vhdl/aes_pkg.vhd View File

@ -85,6 +85,8 @@ package aes_pkg is
function gmul (a : std_logic_vector(7 downto 0); b : std_logic_vector(7 downto 0)) return std_logic_vector;
function addroundkey (data : in std_logic_vector(127 downto 0), key )
end package aes_pkg;


Loading…
Cancel
Save