Browse Source

changed option 'T' to 'S'

master
T. Meissner 10 years ago
parent
commit
e9cd57264b
1 changed files with 1 additions and 1 deletions
  1. +1
    -1
      des/sim/vhdl/makefile

+ 1
- 1
des/sim/vhdl/makefile View File

@ -30,7 +30,7 @@ tb_des.ghw : ../../rtl/vhdl/*.vhd tb_des.vhd
ghdl -r tb_des --wave=tb_des.ghw --assert-level=error --stop-time=11us
wave : tb_des.ghw
gtkwave -T tb_des.tcl tb_des.ghw
gtkwave -S tb_des.tcl tb_des.ghw
clean :
echo "# cleaning simulation files"


Loading…
Cancel
Save