10 Commits (5f440e10ad2d2ec69539036cc82b4587373510dd)

Author SHA1 Message Date
  Torsten Meissner 5f440e10ad Revision 0.2 2011/10/06 13 years ago
  Torsten Meissner 5e4bd28cf1 added basic verification of cbc ability 13 years ago
  Torsten Meissner f0cba7ebb6 expanded simulation time to 220us 13 years ago
  Torsten Meissner 80f6b63062 Revert 32e44bdf948f5fc3a420a37defe918ec55d67b6a^..HEAD 13 years ago
  Torsten Meissner 32e44bdf94 Revision 1.2 2011/10/05 13 years ago
  Torsten Meissner 5b924ff4e2 expanded simulation time to 200 us for decryption testcases 13 years ago
  Torsten Meissner b9ed938d6d register mode_i and iv_i only if start_i is high 13 years ago
  Torsten Meissner 8909aa0d9a expanded simulation time to 100 us for encryption testcases 13 years ago
  Torsten Meissner ec99a6b8eb Revision 1.1 2011/09/25 13 years ago
  Torsten Meissner 5c4b112411 Initial Release of CBC-DES 13 years ago