You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 
 
T. Meissner 32fa71a90b Increase pll clock to 10 MHz, add uart_loop design to readme 2 years ago
..
rtl Increase pll clock to 10 MHz, add uart_loop design to readme 2 years ago
sim Update uart_reg to full reg file implementation 2 years ago
syn Increase pll clock to 10 MHz, add uart_loop design to readme 2 years ago