Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

46 lines
910 B

  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use work.pkg.all;
  4. entity psl_never is
  5. port (
  6. clk : in std_logic
  7. );
  8. end entity psl_never;
  9. architecture psl of psl_never is
  10. signal a, b : std_logic;
  11. begin
  12. -- 0123
  13. SEQ_A : sequencer generic map ("____") port map (clk, a);
  14. SEQ_B : sequencer generic map ("__-_") port map (clk, b);
  15. -- All is sensitive to rising edge of clk
  16. default clock is rising_edge(clk);
  17. -- This assertion holds
  18. NEVER_0_a : assert never a;
  19. -- Equivalent assert with always and negation
  20. -- This assertion holds
  21. ALWAYS_a : assert always not a;
  22. -- This assertion doesn't hold at cycle 2
  23. NEVER_1_a : assert never b;
  24. -- Stop simulation after longest running sequencer is finished
  25. -- Simulation only code by using pragmas
  26. -- synthesis translate_off
  27. stop_sim(clk, 4);
  28. -- synthesis translate_on
  29. end architecture psl;