Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

61 lines
1.7 KiB

  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use work.pkg.all;
  4. entity psl_property is
  5. port (
  6. clk : in std_logic
  7. );
  8. end entity psl_property;
  9. architecture psl of psl_property is
  10. signal req, avalid, busy, adone, data, ddone : std_logic;
  11. begin
  12. -- 01234567890123
  13. SEQ_REQ : sequencer generic map ("_-____________") port map (clk, req);
  14. SEQ_AVALID : sequencer generic map ("__-___________") port map (clk, avalid);
  15. SEQ_BUSY : sequencer generic map ("___-_--_______") port map (clk, busy);
  16. SEQ_ADONE : sequencer generic map ("_______-______") port map (clk, adone);
  17. SEQ_DATA : sequencer generic map ("________---___") port map (clk, data);
  18. SEQ_DDONE : sequencer generic map ("___________-__") port map (clk, ddone);
  19. -- All is sensitive to rising edge of clk
  20. default clock is rising_edge(clk);
  21. -- Transfer property
  22. property transfer_3 is always (
  23. {req} |=> {{avalid; busy[->3]; adone}; {data[->3]; ddone}}
  24. );
  25. -- SERE concatenation operator
  26. -- RHS starts at one cycle cycle that the LHS ends
  27. -- This assertion holds
  28. PROP_0_a : assert transfer_3;
  29. -- Properties can have parameters
  30. -- This assertion holds
  31. property transfer_3_p (boolean v, ad, dd) is always (
  32. {req} |=> {{v; busy[->3]; ad}; {data[->3]; dd}}
  33. );
  34. -- SERE concatenation operator
  35. -- RHS starts at one cycle cycle that the LHS ends
  36. -- This assertion holds
  37. PROP_1_a : assert transfer_3_p(avalid, adone, ddone);
  38. -- Stop simulation after longest running sequencer is finished
  39. -- Simulation only code by using pragmas
  40. -- synthesis translate_off
  41. stop_sim(clk, 13);
  42. -- synthesis translate_on
  43. end architecture psl;