Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

55 lines
1.6 KiB

  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use work.pkg.all;
  4. entity psl_sere_concat is
  5. port (
  6. clk : in std_logic
  7. );
  8. end entity psl_sere_concat;
  9. architecture psl of psl_sere_concat is
  10. signal req, avalid, busy, adone, data, ddone : std_logic;
  11. begin
  12. -- 01234567890123
  13. SEQ_REQ : sequencer generic map ("_-____________") port map (clk, req);
  14. SEQ_AVALID : sequencer generic map ("__-___________") port map (clk, avalid);
  15. SEQ_BUSY : sequencer generic map ("___-_--_______") port map (clk, busy);
  16. SEQ_ADONE : sequencer generic map ("_______-______") port map (clk, adone);
  17. SEQ_DATA : sequencer generic map ("________---___") port map (clk, data);
  18. SEQ_DDONE : sequencer generic map ("___________-__") port map (clk, ddone);
  19. -- All is sensitive to rising edge of clk
  20. default clock is rising_edge(clk);
  21. -- SERE concatenation operator
  22. -- RHS starts at one cycle cycle that the LHS ends
  23. -- This assertion holds
  24. SERE_0_a : assert always {req} |=> {{avalid; busy[->3]; adone}; {data[->3]; ddone}};
  25. -- SERE concatenation operator
  26. -- RHS starts at one cycle cycle that the LHS ends
  27. -- This cover holds at cycle 7
  28. SERE_0_c : cover {req; avalid; busy[->3]; adone} report "Address phase completed";
  29. -- SERE concatenation operator
  30. -- RHS starts at one cycle cycle that the LHS ends
  31. -- This cover holds at cycle 11
  32. SERE_1_c : cover {data[->3]; ddone} report "Data phase completed";
  33. -- Stop simulation after longest running sequencer is finished
  34. -- Simulation only code by using pragmas
  35. -- synthesis translate_off
  36. stop_sim(clk, 13);
  37. -- synthesis translate_on
  38. end architecture psl;