Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

23 lines
486 B

  1. vunit psl_vunit_inherit_0_vu {
  2. -- Named sequences
  3. sequence s_a (boolean data) is {data; data};
  4. sequence s_b (boolean data) is {data};
  5. }
  6. vunit psl_vunit_inherit_vu (psl_vunit_inherit(beh)) {
  7. inherit psl_vunit_inherit_0_vu;
  8. -- All is sensitive to rising edge of clk
  9. default clock is rising_edge(clk);
  10. -- This assertion holds
  11. SERE_0_a : assert always s_a(a) |-> s_b(b);
  12. -- This assertion holds, similar to SERE_0_a
  13. PROP_0_a : assert always {a; a} |-> {b};
  14. }