Browse Source

Add example for |=> (non overlapping suffix implication) operator

* Add example for |=> operator
* Add formal test for |=> operator example
* Add |=> to supported list
master
T. Meissner 4 years ago
parent
commit
d346840704
5 changed files with 63 additions and 4 deletions
  1. +3
    -2
      README.md
  2. +18
    -0
      formal/psl_sere_non_overlapping_suffix_impl.sby
  3. +2
    -1
      formal/tests.mk
  4. +39
    -0
      src/psl_sere_non_overlapping_suffix_impl.vhd
  5. +1
    -1
      src/psl_sere_overlapping_suffix_impl.vhd

+ 3
- 2
README.md View File

@ -30,7 +30,7 @@ The next lists will grow during further development
* always operator
* never operator
* logical implication operator
* logical implication operator (->)
* next operator
* next[n] operator
* next_a[i to j] operator
@ -46,7 +46,8 @@ The next lists will grow during further development
### Sequential Extended Regular Expressions (SERE style)
* Simple SERE
* Overlapping suffix implication operator
* Overlapping suffix implication operator (|->)
* Non overlapping suffix implication operator (|=>)
## PSL features not yet supported by GHDL:


+ 18
- 0
formal/psl_sere_non_overlapping_suffix_impl.sby View File

@ -0,0 +1,18 @@
[tasks]
prove
[options]
depth 25
prove: mode bmc
[engines]
prove: smtbmc z3
[script]
prove: ghdl --std=08 pkg.vhd sequencer.vhd psl_sere_non_overlapping_suffix_impl.vhd -e psl_sere_non_overlapping_suffix_impl
prep -top psl_sere_non_overlapping_suffix_impl
[files]
../src/pkg.vhd
../src/sequencer.vhd
../src/psl_sere_non_overlapping_suffix_impl.vhd

+ 2
- 1
formal/tests.mk View File

@ -14,4 +14,5 @@ psl_until \
psl_before \
psl_eventually \
psl_sere \
psl_sere_overlapping_suffix_impl
psl_sere_overlapping_suffix_impl \
psl_sere_non_overlapping_suffix_impl

+ 39
- 0
src/psl_sere_non_overlapping_suffix_impl.vhd View File

@ -0,0 +1,39 @@
library ieee;
use ieee.std_logic_1164.all;
use work.pkg.all;
entity psl_sere_non_overlapping_suffix_impl is
port (
clk : in std_logic
);
end entity psl_sere_non_overlapping_suffix_impl;
architecture psl of psl_sere_non_overlapping_suffix_impl is
signal a, b : std_logic;
begin
-- 012345678
SEQ_A : sequencer generic map ("--___-___") port map (clk, a);
SEQ_B : sequencer generic map ("_-____-__") port map (clk, b);
-- All is sensitive to rising edge of clk
default clock is rising_edge(clk);
-- This assertion holds
SERE_0_a : assert always {a; a} |=> {not a};
-- This assertion doesn't hold at cycle 2
SERE_1_a : assert always {a; a} |=> {a and b};
-- This assertion holds
SERE_2_a : assert always {not a; a} |=> {b};
end architecture psl;

+ 1
- 1
src/psl_sere_overlapping_suffix_impl.vhd View File

@ -18,7 +18,7 @@ architecture psl of psl_sere_overlapping_suffix_impl is
begin
-- 012345
-- 012345678
SEQ_A : sequencer generic map ("--___-___") port map (clk, a);
SEQ_B : sequencer generic map ("_-____-__") port map (clk, b);


Loading…
Cancel
Save