usb-avr-cpld experiment board with FTDI FT232RL, ATMEGA88 & XC9572XL
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

62 lines
1.3 KiB

  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.numeric_std.all;
  4. entity CpldTestT is
  5. end entity CpldTestT;
  6. architecture rtl of CpldTestT is
  7. component CpldTestE is
  8. port (
  9. -- globals
  10. XcClk_i : in std_logic;
  11. -- avr
  12. AvrData_io : inout std_logic_vector(13 downto 0);
  13. AvrSck_i : in std_logic;
  14. AvrMosi_i : in std_logic;
  15. AvrMiso_o : out std_logic;
  16. -- spi flash
  17. SpfRst_n_o : out std_logic;
  18. SpfCs_n_o : out std_logic;
  19. SpfSck_o : out std_logic;
  20. SpfMosi_o : out std_logic;
  21. SpfMiso_i : in std_logic;
  22. -- gpio
  23. Gpio_io : inout std_logic_vector(4 downto 0)
  24. );
  25. end component CpldTestE;
  26. signal s_cpld_clk : std_logic := '0';
  27. signal s_cpld_gpio : std_logic_vector(4 downto 0);
  28. begin
  29. s_cpld_clk <= not(s_cpld_clk) after 20 ns;
  30. i_CpldTestE : CpldTestE
  31. port map
  32. (
  33. -- globals
  34. XcClk_i => s_cpld_clk,
  35. -- avr
  36. AvrData_io => open,
  37. AvrSck_i => '0',
  38. AvrMosi_i => '0',
  39. AvrMiso_o => open,
  40. -- spi flash
  41. SpfRst_n_o => open,
  42. SpfCs_n_o => open,
  43. SpfSck_o => open,
  44. SpfMosi_o => open,
  45. SpfMiso_i => '0',
  46. -- gpio
  47. Gpio_io => s_cpld_gpio
  48. );
  49. end architecture rtl;