You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

6 lines
439 B

3 years ago
  1. # verification_ip
  2. Verification IPs for simulation & formal verification of various selected protocols. All tests are done with [GHDL](https://github.com/ghdl/ghdl) and [SymbiYosys](https://github.com/YosysHQ/SymbiYosys), a front-end for formal verification flows based on [Yosys](https://github.com/YosysHQ/yosys).
  3. ### wishbone
  4. Simple VIP for the wishbone bus protocol. At the moment support of classic single read / write cycles only.