You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
T. Meissner 521998fd8b Add license text 3 years ago
wishbone Add 1st version of simple wishbone vip 3 years ago
LICENSE.md Add license text 3 years ago
README.md Initial commit 3 years ago

README.md

verification_ip

Verification IPs for simulation & formal verification of various selected protocols. All tests are done with GHDL and SymbiYosys, a front-end for formal verification flows based on Yosys.

wishbone

Simple VIP for the wishbone bus protocol. At the moment support of classic single read / write cycles only.