Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

24 lines
355 B

  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. entity tb___DUT__ is
  4. end entity tb___DUT__;
  5. architecture sim of tb___DUT__ is
  6. signal clk : std_logic := '1';
  7. signal cycle : natural := 0;
  8. begin
  9. clk <= not clk after 500 ps;
  10. cycle <= cycle + 1 when rising_edge(clk);
  11. DUT : entity work.__DUT__(psl) port map (clk);
  12. end architecture sim;