Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

94 lines
5.0 KiB

  1. [![simulation](https://github.com/tmeissner/psl_with_ghdl/workflows/simulation/badge.svg)](https://github.com/tmeissner/psl_with_ghdl/actions?query=workflow%3Asimulation) [![formal](https://github.com/tmeissner/psl_with_ghdl/workflows/formal/badge.svg)](https://github.com/tmeissner/psl_with_ghdl/actions?query=workflow%3Aformal)
  2. # psl_with_ghdl
  3. A collection of examples of using [PSL](https://en.wikipedia.org/wiki/Property_Specification_Language) for functional and formal verification of VHDL designs with [GHDL](https://github.com/ghdl/ghdl) (and [Yosys](https://github.com/YosysHQ/yosys) / [SymbiYosys](https://github.com/YosysHQ/SymbiYosys)).
  4. This is a project with the purpose to get a current state of PSL implementation in GHDL. It probably will find unsupported PSL features, incorrect implemented features or simple bugs like GHDL crashs.
  5. It is also intended for experiments with PSL when learning the language. You can play around with the examples, as they are pretty simple. You can comment out failing assertions if you want to have a successful proof or simulation if you want. You can change them to see what happens.
  6. It is recommended to use an up-to-date version of GHDL as potential bugs are fixed very quickly. Especially the synthesis feature of GHDL is very new and still beta. You can build GHDL from source or use one of the Docker images which contain also the SymbiYosys toolchain. For example the `ghdl/synth:formal` image from Docker Hub. Beware, the Docker images aren't build every day, so it is possible that tests are failing until the image is updated.
  7. You can use my [Dockerfiles for SymbiYosys & GHDL(-synth)](https://github.com/tmeissner/Dockerfiles) to build the docker image on your own machine. Then you have a Docker image with the latest tool versions.
  8. Have fun!
  9. The next lists will grow during further development
  10. ## Supported by GHDL:
  11. ### Directives
  12. * `assert` directive
  13. * `cover` directive
  14. * `assume` directive (synthesis)
  15. * `restrict` directive (synthesis)
  16. ### Temporal operators (LTL style)
  17. * `always` operator
  18. * `never` operator
  19. * logical implication operator (`->`)
  20. * `next` operator
  21. * `next[n]` operator
  22. * `next_a[i to j]` operator
  23. * `next_e[i to j]` operator
  24. * `next_event` operator
  25. * `next_event[n]` operator
  26. * `next_event_e[i to j]` operator
  27. * `until` operator
  28. * `until_` operator
  29. * `before` operator (GHDL crash with a specific invalid property, see [PSL before example](https://github.com/tmeissner/psl_with_ghdl/blob/master/src/psl_before.vhd#L53))
  30. * `eventually!` operator
  31. ### Sequential Extended Regular Expressions (SERE style)
  32. * Simple SERE
  33. * Concatenation operator (`;`)
  34. * Fusion operator (`:`)
  35. * Overlapping suffix implication operator (`|->`)
  36. * Non overlapping suffix implication operator (`|=>`)
  37. * Consecutive repetition operator (`[*]`, `[+]`, `[*n]`, `[*i to j]`)
  38. * Non consecutive repetition operator (`[=n]`, `[=i to j]`)
  39. * Non consecutive goto repetition operator (`[->]`, `[->n]`, `[->i to j]`)
  40. * Length-matching and operator (`&&`)
  41. * Non-length-matching and operator (`&`)
  42. * or operator (`|`)
  43. * `within` operator
  44. ### Functions
  45. * `prev()` function (Synthesis only, see [prev() example](https://github.com/tmeissner/psl_with_ghdl/blob/master/src/psl_prev.vhd))
  46. * `stable()` function (Synthesis only, see [stable() example](https://github.com/tmeissner/psl_with_ghdl/blob/master/src/psl_stable.vhd))
  47. * `rose()` function (Synthesis only, see [rose() example](https://github.com/tmeissner/psl_with_ghdl/blob/master/src/psl_rose.vhd))
  48. * `fell()` function (Synthesis only, see [fell() example](https://github.com/tmeissner/psl_with_ghdl/blob/master/src/psl_fell.vhd))
  49. ### Convenient stuff
  50. * Partial support of PSL vunits in synthesis
  51. ## Not yet supported by GHDL:
  52. * `forall` statement
  53. * Synthesis of strong operator versions
  54. * PSL functions (`prev()`, `stable()`,`rose()` & `fell()` are implemented for synthesis)
  55. ## Under investigation
  56. * `before_` operator (Seems that LHS & RHS of operator have to be active at same cycle, see psl_before.vhd)
  57. * `next_event_a[i to j]` operator
  58. * `eventually!` behaviour with liveness proofs, see [GHDL issue 1345](https://github.com/ghdl/ghdl/issues/1345)
  59. ## Further Ressources
  60. * [Wikipedia about PSL](https://en.wikipedia.org/wiki/Property_Specification_Language)
  61. * [Doulos Designer's Guide To PSL](https://www.doulos.com/knowhow/psl/)
  62. * [Project VeriPage PSL Tutorial](http://www.project-veripage.com/psl_tutorial_1.php)
  63. * [1850-2010 - IEEE Standard for PSL](https://standards.ieee.org/standard/1850-2010.html)
  64. * [A Practical Introduction to PSL Book](https://www.springer.com/gp/book/9780387361239)
  65. * [Formal Verification Book](https://www.elsevier.com/books/formal-verification/seligman/978-0-12-800727-3)
  66. * [PSL Specification for WISHBONE System-on-Chip (from the PROSYD project)](https://opencores.org/websvn/filedetails?repname=copyblaze&path=%2Fcopyblaze%2Ftrunk%2Fcopyblaze%2Fdoc%2Fdev%2FWishBone%2Fprosyd1.4_1_annex.pdf)
  67. * [GHDL documentation](https://ghdl.readthedocs.io)
  68. * [SymbiYosys documentation](https://symbiyosys.readthedocs.io)