cryptography ip-cores in vhdl / verilog
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

93 lines
2.7 KiB

10 years ago
10 years ago
  1. -- ======================================================================
  2. -- AES encryption/decryption
  3. -- algorithm according to FIPS 197 specification
  4. -- Copyright (C) 2011 Torsten Meissner
  5. -------------------------------------------------------------------------
  6. -- This program is free software; you can redistribute it and/or modify
  7. -- it under the terms of the GNU General Public License as published by
  8. -- the Free Software Foundation; either version 2 of the License, or
  9. -- (at your option) any later version.
  10. -- This program is distributed in the hope that it will be useful,
  11. -- but WITHOUT ANY WARRANTY; without even the implied warranty of
  12. -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  13. -- GNU General Public License for more details.
  14. -- You should have received a copy of the GNU General Public License
  15. -- along with this program; if not, write to the Free Software
  16. -- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
  17. -- ======================================================================
  18. library ieee;
  19. use ieee.std_logic_1164.all;
  20. use ieee.numeric_std.all;
  21. use work.aes_pkg.all;
  22. entity aes is
  23. port (
  24. reset_i : in std_logic; -- async reset
  25. clk_i : in std_logic; -- clock
  26. mode_i : in std_logic; -- aes-modus: 0 = encrypt, 1 = decrypt
  27. key_i : in std_logic_vector(0 TO 127); -- key input
  28. data_i : in std_logic_vector(0 TO 127); -- data input
  29. valid_i : in std_logic; -- input key/data valid flag
  30. accept_o : out std_logic;
  31. data_o : out std_logic_vector(0 TO 127); -- data output
  32. valid_o : out std_logic; -- output data valid flag
  33. accept_i : in std_logic
  34. );
  35. end entity aes;
  36. architecture rtl of aes is
  37. signal s_fsm_state : t_rounds;
  38. signal s_aes_state : t_datatable2d;
  39. signal s_accept : std_logic;
  40. signal s_key_sched_done : boolean;
  41. begin
  42. KeySchedP : process (reset_i, clk_i) is
  43. begin
  44. end process KeySchedP;
  45. AesIter: process (reset_i, clk_i) is
  46. variable v_mode : std_logic;
  47. variable v_round_cnt : t_rounds;
  48. variable v_key : t_key;
  49. begin
  50. if(reset_i = '0') then
  51. s_accept <= '1';
  52. data_o <= (others => '0');
  53. valid_o <= '0';
  54. v_mode := '0';
  55. v_key := (others => (others => '0'));
  56. v_round_cnt := t_rounds'low;
  57. elsif rising_edge(clk_i) then
  58. FsmC : case s_fsm_state is
  59. when 0 =>
  60. if(s_accept = '1' and valid_i = '1') then
  61. v_mode := mode_i;
  62. end if;
  63. end case FsmC;
  64. end if;
  65. end process AesIter;
  66. accept_o <= s_accept;
  67. end architecture rtl;