Commit Graph

  • dfa69c1 replaced info about how to get VHDL-2008 packages by info about get_vhdl_2008.sh script by tmeissner 2014-11-20 11:55:47 +0100
  • d8f1bf2 fixed fileendings of VHDL-2008 packages by tmeissner 2014-11-20 11:54:54 +0100
  • 79cc8ce add script to download VHDL-2008 proposed packages & patch file for env_c.vhdl to get it compiled by GHDL by tmeissner 2014-11-20 11:26:37 +0100
  • 595cee0 fixed location of vhdl 2008 package files by tmeissner 2014-11-20 01:28:33 +0100
  • db5dfc7 fixed broken test for t_list_queue (data width mismatch) by tmeissner 2014-11-20 01:27:16 +0100
  • 78f833a add infos about OSVVM library by tmeissner 2014-11-20 01:10:22 +0100
  • 9af05ea better comments, add report when wait_cycles() test finished by tmeissner 2014-11-20 01:03:03 +0100
  • 0b3faa2 using v_count instead of null check for look if queue is empty by tmeissner 2014-11-20 01:02:10 +0100
  • 592893a add version 2.1 of OSVVM library; using osvvm randompkg to randomize stimuli in SpiT tests by tmeissner 2014-11-20 01:00:48 +0100
  • 54168ab removed, using string function of VHDL-08 instead by tmeissner 2014-11-19 22:24:04 +0100
  • 3dd69f2 fixed stopping of clock when all tests are done by tmeissner 2014-11-19 22:23:06 +0100
  • cd72e16 removed forgotten references to deleted StringP.vhd package by tmeissner 2014-11-19 22:21:22 +0100
  • f1de455 fixed wrong period parameter usage in spi_master() procedure by tmeissner 2014-11-19 22:00:39 +0100
  • 76f15e8 integrate VHDL-08 libraries by tmeissner 2014-11-19 21:57:04 +0100
  • 74675aa removed, using the VHDL-2008 string functions instead by tmeissner 2014-11-19 21:52:40 +0100
  • 5dd42b8 add synthesizable and configurable SPI master component and enhance unit test by tmeissner 2014-11-19 21:49:35 +0100
  • dc24fc9 fixed reset initialisation of s_sclk_d by tmeissner 2014-11-19 21:48:22 +0100
  • d12f791 beautify by tmeissner 2014-11-18 18:27:32 +0100
  • 502aec3 replaced direct read from async SpiMosi_i input by read from registered a_mosi by tmeissner 2014-11-18 18:25:18 +0100
  • 308e33c synthesis don't like the std_logic'val(int) construct, change to if/else instead by tmeissner 2014-11-18 17:08:28 +0100
  • 5e7db54 add implementation results for SpiSlave component by tmeissner 2014-11-18 17:01:52 +0100
  • a6169f1 Merge branch 'master' of https://github.com/tmeissner/libvhdl by tmeissner 2014-11-17 00:13:19 +0100
  • c9fc738 add synthesizable configurable SPI slave component and unit test by tmeissner 2014-11-17 00:08:15 +0100
  • ac5925c add synthesizable configurable SPI slave component and unit test by tmeissner 2014-11-17 00:08:15 +0100
  • 2b34512 clean up assert_* procedures, add new optional parameter for severity level by tmeissner 2014-11-16 13:11:01 +0100
  • 389b347 add cpha parameter do spi_master & spi_slave; change unit test to check all combinations of cpol & cpha by tmeissner 2014-11-15 23:30:36 +0100
  • 1046223 more and besset comments by tmeissner 2014-11-15 23:28:31 +0100
  • b4e6625 beautifying by tmeissner 2014-11-15 23:26:57 +0100
  • 61baa0f add information about new AssertP and SimP package components and tests by tmeissner 2014-11-15 19:08:28 +0100
  • 58478fa add new SimP package with various general useful testbench procedures like spi master & slave by tmeissner 2014-11-15 18:49:35 +0100
  • 990a511 compile all packages in library 'libvhdl' now & use the library in the testbenches by tmeissner 2014-11-15 18:41:50 +0100
  • e70325a use new overloaded procedures with added report string parameter by tmeissner 2014-11-15 03:03:13 +0100
  • 31bc032 add overloaded versions of all assert procedures with report string parameter added by tmeissner 2014-11-15 03:02:40 +0100
  • 1314da2 add StringP & AssertP source files to Queuet target by tmeissner 2014-11-15 02:48:45 +0100
  • b02ec5e replaced assert statements by procedures defined in AssertP package by tmeissner 2014-11-15 02:47:59 +0100
  • 97b6596 add test for ascending slv; add info about successfully finished simulation by tmeissner 2014-11-15 02:47:11 +0100
  • 1dcf8fb add new procedures assert_true(boolean) and assert_false(boolean) by tmeissner 2014-11-15 02:45:38 +0100
  • fe2e5a5 added new StringP (string conversion functions) and unit test for it by tmeissner 2014-11-14 21:13:06 +0100
  • a745128 added new AssertP package with assertion procedures by tmeissner 2014-11-14 21:11:48 +0100
  • f2a7477 Rename License.md to LICENSE.md by T. Meissner 2014-11-14 00:58:29 +0100
  • 41893f9 added LGPLv3 by tmeissner 2014-11-14 00:55:32 +0100
  • ba975c7 added lgpl3 license file by tmeissner 2014-11-14 00:53:19 +0100
  • faac811 removed useless v_count variable and replaced it by using i loop variable by tmeissner 2014-11-13 23:41:40 +0100
  • bd20626 added short informations about library and how to build it by tmeissner 2014-11-13 23:24:33 +0100
  • 1b9408f added new queue t_list_queue, implemented as linked list by tmeissner 2014-11-13 23:00:17 +0100
  • 9bf0eaa Initial commit of read file by T. Meissner 2014-11-13 21:27:52 +0100
  • f4d7294 initial commit of simple queue design file & testbench by tmeissner 2014-11-13 21:08:47 +0100