cryptography ip-cores in vhdl / verilog
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

261 lines
12 KiB

10 years ago
10 years ago
  1. -- ======================================================================
  2. -- AES encryption/decryption
  3. -- package file with functions
  4. -- Copyright (C) 2011 Torsten Meissner
  5. -------------------------------------------------------------------------
  6. -- This program is free software; you can redistribute it and/or modify
  7. -- it under the terms of the GNU General Public License as published by
  8. -- the Free Software Foundation; either version 2 of the License, or
  9. -- (at your option) any later version.
  10. -- This program is distributed in the hope that it will be useful,
  11. -- but WITHOUT ANY WARRANTY; without even the implied warranty of
  12. -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  13. -- GNU General Public License for more details.
  14. -- You should have received a copy of the GNU General Public License
  15. -- along with this program; if not, write to the Free Software
  16. -- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
  17. -- ======================================================================
  18. -- aes implementation
  19. -- key length: 128 bit -> Nk = 4
  20. -- data width: 128 bit -> Nb = 4
  21. -- round number Nr = 10
  22. library ieee;
  23. use ieee.std_logic_1164.all;
  24. use ieee.numeric_std.all;
  25. package aes_pkg is
  26. -- constants for AES128
  27. constant c_nk : natural := 4; -- key size
  28. constant c_nb : natural := 4; -- number of bytes
  29. constant c_nr : natural := 10; -- number of rounds
  30. subtype t_rounds is natural range 0 to c_nr + 1;
  31. subtype t_key_rounds is natural range c_nk to c_nb * (c_nr + 1);
  32. type t_datatable1d is array (0 to 3) of std_logic_vector(7 downto 0);
  33. type t_datatable2d is array (0 to 3) of t_datatable1d;
  34. type t_stable1d is array (0 to 15) of std_logic_vector(7 downto 0);
  35. type t_stable2d is array (0 to 15) of t_stable1d;
  36. type t_key is array (0 to 3) of std_logic_vector(31 downto 0);
  37. constant c_sbox : t_stable2d := (
  38. -- 0 1 2 3 4 5 6 7 8 9 A B C D E F
  39. (x"63", x"7c", x"77", x"7b", x"f2", x"6b", x"6f", x"c5", x"30", x"01", x"67", x"2b", x"fe", x"d7", x"ab", x"76"), -- 0
  40. (x"ca", x"82", x"c9", x"7d", x"fa", x"59", x"47", x"f0", x"ad", x"d4", x"a2", x"af", x"9c", x"a4", x"72", x"c0"), -- 1
  41. (x"b7", x"fd", x"93", x"26", x"36", x"3f", x"f7", x"cc", x"34", x"a5", x"e5", x"f1", x"71", x"d8", x"31", x"15"), -- 2
  42. (x"04", x"c7", x"23", x"c3", x"18", x"96", x"05", x"9a", x"07", x"12", x"80", x"e2", x"eb", x"27", x"b2", x"75"), -- 3
  43. (x"09", x"83", x"2c", x"1a", x"1b", x"6e", x"5a", x"a0", x"52", x"3b", x"d6", x"b3", x"29", x"e3", x"2f", x"84"), -- 4
  44. (x"53", x"d1", x"00", x"ed", x"20", x"fc", x"b1", x"5b", x"6a", x"cb", x"be", x"39", x"4a", x"4c", x"58", x"cf"), -- 5
  45. (x"d0", x"ef", x"aa", x"fb", x"43", x"4d", x"33", x"85", x"45", x"f9", x"02", x"7f", x"50", x"3c", x"9f", x"a8"), -- 6
  46. (x"51", x"a3", x"40", x"8f", x"92", x"9d", x"38", x"f5", x"bc", x"b6", x"da", x"21", x"10", x"ff", x"f3", x"d2"), -- 7
  47. (x"cd", x"0c", x"13", x"ec", x"5f", x"97", x"44", x"17", x"c4", x"a7", x"7e", x"3d", x"64", x"5d", x"19", x"73"), -- 8
  48. (x"60", x"81", x"4f", x"dc", x"22", x"2a", x"90", x"88", x"46", x"ee", x"b8", x"14", x"de", x"5e", x"0b", x"db"), -- 9
  49. (x"e0", x"32", x"3a", x"0a", x"49", x"06", x"24", x"5c", x"c2", x"d3", x"ac", x"62", x"91", x"95", x"e4", x"79"), -- A
  50. (x"e7", x"c8", x"37", x"6d", x"8d", x"d5", x"4e", x"a9", x"6c", x"56", x"f4", x"ea", x"65", x"7a", x"ae", x"08"), -- B
  51. (x"ba", x"78", x"25", x"2e", x"1c", x"a6", x"b4", x"c6", x"e8", x"dd", x"74", x"1f", x"4b", x"bd", x"8b", x"8a"), -- C
  52. (x"70", x"3e", x"b5", x"66", x"48", x"03", x"f6", x"0e", x"61", x"35", x"57", x"b9", x"86", x"c1", x"1d", x"9e"), -- D
  53. (x"e1", x"f8", x"98", x"11", x"69", x"d9", x"8e", x"94", x"9b", x"1e", x"87", x"e9", x"ce", x"55", x"28", x"df"), -- E
  54. (x"8c", x"a1", x"89", x"0d", x"bf", x"e6", x"42", x"68", x"41", x"99", x"2d", x"0f", x"b0", x"54", x"bb", x"16")); -- F
  55. constant c_sbox_invers : t_stable2d := (
  56. -- 0 1 2 3 4 5 6 7 8 9 A B C D E F
  57. (x"52", x"09", x"6a", x"d5", x"30", x"36", x"a5", x"38", x"bf", x"40", x"a3", x"9e", x"81", x"f3", x"d7", x"fb"), -- 0
  58. (x"7c", x"e3", x"39", x"82", x"9b", x"2f", x"ff", x"87", x"34", x"8e", x"43", x"44", x"c4", x"de", x"e9", x"cb"), -- 1
  59. (x"54", x"7b", x"94", x"32", x"a6", x"c2", x"23", x"3d", x"ee", x"4c", x"95", x"0b", x"42", x"fa", x"c3", x"4e"), -- 2
  60. (x"08", x"2e", x"a1", x"66", x"28", x"d9", x"24", x"b2", x"76", x"5b", x"a2", x"49", x"6d", x"8b", x"d1", x"25"), -- 3
  61. (x"72", x"f8", x"f6", x"64", x"86", x"68", x"98", x"16", x"d4", x"a4", x"5c", x"cc", x"5d", x"65", x"b6", x"92"), -- 4
  62. (x"6c", x"70", x"48", x"50", x"fd", x"ed", x"b9", x"da", x"5e", x"15", x"46", x"57", x"a7", x"8d", x"9d", x"84"), -- 5
  63. (x"90", x"d8", x"ab", x"00", x"8c", x"bc", x"d3", x"0a", x"f7", x"e4", x"58", x"05", x"b8", x"b3", x"45", x"06"), -- 6
  64. (x"d0", x"2c", x"1e", x"8f", x"ca", x"3f", x"0f", x"02", x"c1", x"af", x"bd", x"03", x"01", x"13", x"8a", x"6b"), -- 7
  65. (x"3a", x"91", x"11", x"41", x"4f", x"67", x"dc", x"ea", x"97", x"f2", x"cf", x"ce", x"f0", x"b4", x"e6", x"73"), -- 8
  66. (x"96", x"ac", x"74", x"22", x"e7", x"ad", x"35", x"85", x"e2", x"f9", x"37", x"e8", x"1c", x"75", x"df", x"6e"), -- 9
  67. (x"47", x"f1", x"1a", x"71", x"1d", x"29", x"c5", x"89", x"6f", x"b7", x"62", x"0e", x"aa", x"18", x"be", x"1b"), -- A
  68. (x"fc", x"56", x"3e", x"4b", x"c6", x"d2", x"79", x"20", x"9a", x"db", x"c0", x"fe", x"78", x"cd", x"5a", x"f4"), -- B
  69. (x"1f", x"dd", x"a8", x"33", x"88", x"07", x"c7", x"31", x"b1", x"12", x"10", x"59", x"27", x"80", x"ec", x"5f"), -- C
  70. (x"60", x"51", x"7f", x"a9", x"19", x"b5", x"4a", x"0d", x"2d", x"e5", x"7a", x"9f", x"93", x"c9", x"9c", x"ef"), -- D
  71. (x"a0", x"e0", x"3b", x"4d", x"ae", x"2a", x"f5", x"b0", x"c8", x"eb", x"bb", x"3c", x"83", x"53", x"99", x"61"), -- E
  72. (x"17", x"2b", x"04", x"7e", x"ba", x"77", x"d6", x"26", x"e1", x"69", x"14", x"63", x"55", x"21", x"0c", x"7d"));-- F
  73. function bytesub (input : std_logic_vector(7 downto 0)) return std_logic_vector;
  74. function invbytesub (input : std_logic_vector(7 downto 0)) return std_logic_vector;
  75. function shiftrow (input : t_datatable2d) return t_datatable2d;
  76. function invshiftrow (input : t_datatable2d) return t_datatable2d;
  77. function mixcolumns (input : t_datatable2d; column : natural) return t_datatable2d;
  78. function sortdata (input : std_logic_vector(127 downto 0)) return t_datatable2d;
  79. function gmul (a : std_logic_vector(7 downto 0); b : std_logic_vector(7 downto 0)) return std_logic_vector;
  80. function addroundkey (input : in t_datatable2d; key : in t_key) return t_datatable2d;
  81. function subword (input : in t_datatable1d) return t_datatable1d;
  82. function rotword (input : in t_datatable1d) return t_datatable1d;
  83. function rcon (round : in t_rounds) return t_datatable1d;
  84. end package aes_pkg;
  85. package body aes_pkg is
  86. function sortdata (input : std_logic_vector(127 downto 0)) return t_datatable2d is
  87. variable v_datamatrix : t_datatable2d;
  88. begin
  89. for outdex in 0 to 3 loop
  90. for index in 0 to 3 loop
  91. v_datamatrix(index)(outdex) := input(outdex*32+(index+1)*7 downto outdex*32+index*8);
  92. end loop;
  93. end loop;
  94. return v_datamatrix;
  95. end function sortdata;
  96. function bytesub (input : std_logic_vector(7 downto 0)) return std_logic_vector is
  97. begin
  98. return(c_sbox(to_integer(unsigned(input(7 downto 4))))(to_integer(unsigned(input(3 downto 0)))));
  99. end function bytesub;
  100. function invbytesub (input : std_logic_vector(7 downto 0)) return std_logic_vector is
  101. begin
  102. return(c_sbox_invers(to_integer(unsigned(input(7 downto 4))))(to_integer(unsigned(input(3 downto 0)))));
  103. end function invbytesub;
  104. function shiftrow (input : t_datatable2d) return t_datatable2d is
  105. variable v_datamatrix : t_datatable2d;
  106. begin
  107. -- copy input in internal matrix
  108. v_datamatrix := input;
  109. -- 2nd row
  110. v_datamatrix(1)(1) := input(1)(0);
  111. v_datamatrix(1)(2) := input(1)(1);
  112. v_datamatrix(1)(3) := input(1)(2);
  113. -- 3rd row
  114. v_datamatrix(2)(2) := input(2)(0);
  115. v_datamatrix(2)(3) := input(2)(1);
  116. -- 4rd row
  117. v_datamatrix(3)(3) := input(3)(0);
  118. -- return manipulated internal matrix
  119. return v_datamatrix;
  120. end function shiftrow;
  121. function invshiftrow (input : t_datatable2d) return t_datatable2d is
  122. variable v_datamatrix : t_datatable2d;
  123. begin
  124. -- copy input in internal matrix
  125. v_datamatrix := input;
  126. -- 2nd row
  127. v_datamatrix(1)(0) := input(1)(1);
  128. v_datamatrix(1)(1) := input(1)(2);
  129. v_datamatrix(1)(2) := input(1)(3);
  130. -- 3rd row
  131. v_datamatrix(2)(0) := input(2)(2);
  132. v_datamatrix(2)(1) := input(2)(3);
  133. -- 4rd row
  134. v_datamatrix(3)(0) := input(3)(3);
  135. -- return manipulated internal matrix
  136. return v_datamatrix;
  137. end function invshiftrow;
  138. -- trivial algorithmus to multiply two bytes in the 8 bit galois field
  139. -- algorithmus in c taken from http://www.samiam.org/galois.html and rewritten in vhdl
  140. function gmul (a : std_logic_vector(7 downto 0); b : std_logic_vector(7 downto 0)) return std_logic_vector is
  141. variable v_a, v_b : std_logic_vector(7 downto 0);
  142. --variable v_data : std_logic_vector(7 downto 0) := (others => '0');
  143. variable v_hi_bit_set : std_logic := '0';
  144. variable v_data : unsigned(15 downto 0);
  145. begin
  146. --v_a := a;
  147. --v_b := b;
  148. --for index in 0 to 7 loop
  149. -- if(v_b(0) = '1') then
  150. -- v_data := v_data xor v_a;
  151. -- end if;
  152. -- v_hi_bit_set := a(7);
  153. -- v_a := v_a(6 downto 0) & '0';
  154. -- if(v_hi_bit_set = '1') then
  155. -- v_a := v_a xor x"01";
  156. -- end if;
  157. -- v_b := '0' & v_b(7 downto 1);
  158. --end loop;
  159. --return v_data;
  160. v_data := unsigned(a) * unsigned(b);
  161. return std_logic_vector(v_data(7 downto 0));
  162. --return std_logic_vector((unsigned(a) * unsigned(b)) (7 downto 0)); -- mod a'length);
  163. end function gmul;
  164. -- matrix columns manipulation
  165. function mixcolumns (input : t_datatable2d; column : natural) return t_datatable2d is
  166. variable v_data : t_datatable2d;
  167. begin
  168. for index in 0 to 3 loop
  169. v_data(index)(0) := gmul(x"02",input(index)(0)) xor gmul(x"03",input(index)(1)) xor input(index)(2) xor input(index)(3);
  170. v_data(index)(1) := input(index)(0) xor gmul(x"02",input(index)(1)) xor gmul(x"03",input(index)(2)) xor input(index)(3);
  171. v_data(index)(2) := input(index)(0) xor input(index)(1) xor gmul(x"02",input(index)(2)) xor gmul(x"03",input(index)(3));
  172. v_data(index)(3) := gmul(x"03", input(index)(0)) xor input(index)(1) xor input(index)(2) xor gmul(x"02",input(index)(3));
  173. end loop;
  174. return v_data;
  175. end function mixcolumns;
  176. function addroundkey (input : in t_datatable2d; key : in t_key) return t_datatable2d is
  177. variable v_data : t_datatable2d;
  178. variable v_key : t_datatable1d;
  179. begin
  180. for i in 0 to 2 loop
  181. v_key := (key(i)(7 downto 0), key(i)(15 downto 8), key(i)(23 downto 16), key(i)(31 downto 24));
  182. for j in 0 to 3 loop
  183. v_data(i)(j) := input(i)(j) xor v_key(j);
  184. end loop;
  185. end loop;
  186. return v_data;
  187. end function addroundkey;
  188. function subword (input : in t_datatable1d) return t_datatable1d is
  189. variable v_data : t_datatable1d;
  190. begin
  191. for i in 0 to 3 loop
  192. v_data(i) := c_sbox(to_integer(unsigned(input(i)(7 downto 4))))(to_integer(unsigned(input(i)(3 downto 0))));
  193. end loop;
  194. return v_data;
  195. end function subword;
  196. function rotword (input : in t_datatable1d) return t_datatable1d is
  197. begin
  198. return(input(2), input(1), input(0), input(3));
  199. end function rotword;
  200. function rcon (round : in t_rounds) return t_datatable1d is
  201. variable v_data : std_logic_vector(15 downto 0);
  202. begin
  203. v_data := std_logic_vector(to_unsigned(2**(round-1), 15));
  204. return(v_data(7 downto 0), x"00", x"00", x"00");
  205. end function rcon;
  206. end package body aes_pkg;