Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
T. Meissner d68ea88578 Add more asserts using variants of [*] 4 years ago
..
hex_sequencer.vhd Add 4-bit version of sequencer 4 years ago
pkg.vhd Add 4-bit version of sequencer 4 years ago
psl_always.vhd Handle ambiguous PLS/VHDL assert, add some hints 4 years ago
psl_before.vhd Minor fixes, beauty care 4 years ago
psl_eventually.vhd Minor fixes, beauty care 4 years ago
psl_logical_implication.vhd Add example for locical implication 4 years ago
psl_never.vhd Add tests for formal verification; optimizations; fixes #3 4 years ago
psl_next.vhd Add tests for formal verification; optimizations; fixes #3 4 years ago
psl_next_3.vhd Add tests for formal verification; optimizations; fixes #3 4 years ago
psl_next_a.vhd Add some more waveforms & asserts to PSL next_a example 4 years ago
psl_next_e.vhd Add example for next_e operator 4 years ago
psl_next_event.vhd Add example for next_event operator 4 years ago
psl_next_event_4.vhd Add example for next_event[n] operator 4 years ago
psl_next_event_a.vhd Add more failing assertions (should hold, assuming GHDL bug) to next_event_a example 4 years ago
psl_next_event_e.vhd PSL next_event_e operator now supported by GHDL :) 4 years ago
psl_sere.vhd Add example for simple SERE 4 years ago
psl_sere_consecutive_repetition.vhd Add more asserts using variants of [*] 4 years ago
psl_sere_non_overlapping_suffix_impl.vhd Add example for |=> (non overlapping suffix implication) operator 4 years ago
psl_sere_overlapping_suffix_impl.vhd Add example for |=> (non overlapping suffix implication) operator 4 years ago
psl_until.vhd Add example of until & until_ operators 4 years ago
sequencer.vhd Add tests for formal verification; optimizations; fixes #3 4 years ago