4 Commits (114a4e1072481c00d4e4cbe1e6f7319643298b6f)

Author SHA1 Message Date
  Torsten Meissner 114a4e1072 remove OVL support in older, finished & verified projects 12 years ago
  Torsten Meissner 8fd02d0844 you can now include the OVL library if you set the OVL_ENABLE flag to 1 12 years ago
  Torsten Meissner c5fa11fbef integrated tcl-file into gtkwave starting parameters 13 years ago
  Torsten Meissner 2e7c021255 initial release of tdes in cbc mode 13 years ago
  Torsten Meissner d3314a7d46 minor updates 13 years ago
  Torsten Meissner a288199209 initial release of testbench and makefile 13 years ago
  Torsten Meissner f0cba7ebb6 expanded simulation time to 220us 13 years ago
  Torsten Meissner 5b924ff4e2 expanded simulation time to 200 us for decryption testcases 13 years ago
  Torsten Meissner 8909aa0d9a expanded simulation time to 100 us for encryption testcases 13 years ago
  Torsten Meissner 5c4b112411 Initial Release of CBC-DES 13 years ago
  Torsten Meissner 0feb33c308 extended simulation time to 11 us 13 years ago
  Torsten Meissner b08ff1f872 set assertion level for ghdl to 'error', expanded simulation time to 7us 13 years ago
  Torsten Meissner e1980efa74 longer simulation time 13 years ago
  Torsten Meissner 4b59f1b69d adapted on the initial release of the testbench 13 years ago
  Torsten Meissner cc9989e997 makefile for simulation environment, needs ghdl and gtkwave to work 13 years ago