Commit Graph

  • dc5cdfe (HEAD -> master) Update README to reflect license change by tmeissner 2022-05-21 00:48:11 +0200
  • 8c75b0f Update license to Apache, version 2.0 by tmeissner 2022-05-21 00:41:36 +0200
  • f437663 Update Makefile to reflect changes OSVVM compile order by tmeissner 2022-05-21 00:15:21 +0200
  • d1c1142 Update OSVVM by tmeissner 2022-05-21 00:03:25 +0200
  • be0c79f Comment out generation og GHW file until GHDL problem is found by tmeissner 2022-05-20 23:33:04 +0200
  • 7272644 Update Spi tests to use generic queue type by tmeissner 2022-05-20 23:17:57 +0200
  • 84d9a28 Add test of stop bit errors by tmeissner 2020-08-13 16:56:45 +0200
  • 46f41a4 Add Uart units to README by tmeissner 2020-08-04 14:36:02 +0200
  • 03561c8 Add coverage of injected UART bit errors by tmeissner 2020-08-04 14:23:54 +0200
  • 2ec21b0 Cleanup by tmeissner 2020-07-29 00:28:59 +0200
  • cad3258 Some more report messages by tmeissner 2020-07-29 00:28:28 +0200
  • 0dba78b Use odd_parity() of UtilsP package instead of local one by tmeissner 2020-07-29 00:27:59 +0200
  • b44a0d3 Add simple error injection to test parity calc & check by tmeissner 2020-07-21 02:08:39 +0200
  • 8e9b061 Use xor_reduce() of UtilsP package by tmeissner 2020-07-21 02:07:41 +0200
  • 69c4bc5 Add UART receive component & UART testbench by tmeissner 2020-07-19 23:50:24 +0200
  • 5832739 Add parity bit implementation by tmeissner 2020-07-19 20:36:23 +0200
  • 18eb27a Add UART transmitter component by tmeissner 2020-07-19 01:41:59 +0200
  • eacf18c Use two separate jobs in one GHA workflow instead of 2 separate workflows by tmeissner 2020-03-27 14:25:49 +0100
  • 5cb77eb Add badge for formal GHA tests by tmeissner 2020-03-27 14:01:42 +0100
  • db4c115 Add GHA config for formal checks by tmeissner 2020-03-27 13:55:50 +0100
  • 7ecc52f Remove travis config file; use GHA instead by tmeissner 2020-03-27 01:36:09 +0100
  • 2c9e8e5 Merge pull request #2 from eine/master by T. Meissner 2020-03-27 01:25:25 +0100
  • f060817 ci: add GitHub Actions 'test' workflow by eine 2020-03-27 00:55:56 +0100
  • 9fb10aa Add formal tests to readme by tmeissner 2020-03-26 14:34:02 +0100
  • 83d3e05 Add bmc mode; integrate simulation PSL checks by tmeissner 2020-03-26 14:24:29 +0100
  • dd3b18e Add formal verification of Wishbone components by tmeissner 2020-03-25 18:38:00 +0100
  • ea5a71f Use generics to set vector lenghts instead of unconstrained vectors by tmeissner 2019-09-16 00:24:04 +0200
  • dd494f0 New Wishbone checks; Fix illegal PSL property by tmeissner 2018-10-27 17:39:48 +0200
  • 7215aed Add info about new project location; add info about cloning with --recursive option by Torsten Meissner 2018-10-26 13:55:18 +0200
  • e953cda Refactoring Wishbone tests & design by tmeissner 2018-06-14 11:53:06 +0200
  • 2ee7c4d (AHBL_BFM) Replace OSVVM directory by git submodule by tmeissner 2018-06-14 10:26:59 +0200
  • 225e293 Add OSVVM license file [ci skip] by tmeissner 2017-09-08 11:25:16 +0200
  • a573316 Move OSVVM dir to libvhdl root dir; switch to (patched) OSSV release 2017.05 by tmeissner 2017-09-08 11:20:34 +0200
  • 854dfdf Config travis to only build master branch [ci skip] by tmeissner 2017-09-07 11:58:22 +0200
  • cab2370 StackP is now a package with generics for type & max depth by tmeissner 2017-09-06 17:28:08 +0200
  • 87543b4 Remove VHDL-08 support files & make target for non vhdl08 supporting simulators by tmeissner 2017-09-06 16:42:50 +0200
  • 97cc66a Finally fixed travis config by tmeissner 2017-09-06 16:16:12 +0200
  • 0d32530 export path directly before running make by tmeissner 2017-09-06 15:02:55 +0200
  • 566c7d0 Add badge for travis-ci state by tmeissner 2017-09-06 14:41:19 +0200
  • ca45771 Add support for travis-ci by tmeissner 2017-09-06 14:24:40 +0200
  • 4d5b2d2 Update to new QueueP interface by tmeissner 2017-09-04 22:19:47 +0200
  • 2a938e2 QueueP is now a package with generics for type & max depth by tmeissner 2017-09-04 22:12:46 +0200
  • d3deb31 Update link & info to GHDL by tmeissner 2017-09-02 11:52:26 +0200
  • 61eb06e DictP is now a package with generics for key & type by tmeissner 2017-09-02 11:44:12 +0200
  • d80908f Merge pull request #1 from cmarqu/patch-1 by T. Meissner 2016-09-05 17:32:44 +0200
  • 71d0a32 (refs/pull/1/head) Typofix by Colin Marquardt 2016-09-05 10:00:22 +0200
  • 910caa3 Add info about intention of this library by T. Meissner 2016-09-04 12:08:13 +0200
  • 2037a59 Set AssertP package to deprecated by T. Meissner 2016-09-04 12:02:35 +0200
  • 4649f81 Change back to LGPL3 license by T. Meissner 2016-09-04 12:00:36 +0200
  • 4086876 Add assert for WB reset; add coverage of Local write/read by tmeissner 2016-04-03 12:56:48 +0200
  • f8df805 Add test of WB master local write & read at same time by tmeissner 2016-04-03 12:54:36 +0200
  • 0a7b520 Remove coverage reports in clean target by tmeissner 2016-04-03 12:54:01 +0200
  • 5a8ff84 Add export of PSL coverage report by tmeissner 2016-04-03 12:53:00 +0200
  • cf1798b Guard test for value with to_ux01() function by tmeissner 2016-01-18 23:37:08 +0100
  • cf64ef1 slv versions of or_ and and_ reduce now with looped combinatorals by tmeissner 2016-01-18 23:36:28 +0100
  • 44a4ee9 remove unused math_real library depedency by tmeissner 2016-01-18 23:35:17 +0100
  • d6ce7b4 Replace directed Wishbone tests by coverage driven ones by tmeissner 2016-01-17 13:03:30 +0100
  • 83bea18 Make proc/fnc input parameters constant by tmeissner 2015-09-06 23:57:26 +0200
  • 12ed13b Replace setFirst() & setLast() proc by generic setIter() procedure by tmeissner 2015-09-06 23:53:51 +0200
  • 575d85b Add a stack protected type t_stack in new package StackP by tmeissner 2015-09-06 23:45:08 +0200
  • 1b9d6a1 Add new procedure to merge 2 dicts by tmeissner 2015-09-03 23:48:08 +0200
  • 4b97da4 Add new methods to iterate over keys stored in dictionary by tmeissner 2015-09-01 00:00:07 +0200
  • a482c12 Add info about common/UtilsP package by tmeissner 2015-08-27 11:35:28 +0200
  • 73c00e4 Add UtilsP.o dependency to wishbonet rule by tmeissner 2015-08-26 23:37:43 +0200
  • 652e9b6 Add uint_bitsize() function by tmeissner 2015-08-26 23:34:55 +0200
  • 98d6992 Add various new helper functions by tmeissner 2015-08-26 22:44:38 +0200
  • c1005ba Add PSL assertions to check initiating of WishBone write/read transfer by tmeissner 2015-08-26 21:09:50 +0200
  • 28383d2 Add monitor to check master initiated WishBone transfers by tmeissner 2015-08-26 21:04:48 +0200
  • 6659dbb Fix PSL assertions for local wen and local ren by tmeissner 2015-08-22 12:23:31 +0200
  • 7b1f2f0 Add functions count_ones() & xor_reduce by tmeissner 2015-08-22 01:26:46 +0200
  • 021bab3 Add PSL assertions to check WishBone & Local IF by tmeissner 2015-08-22 01:24:37 +0200
  • 0f63806 Add new testcases by tmeissner 2015-08-21 19:45:57 +0200
  • f453a61 Fix various null pointer accesses by tmeissner 2015-08-21 19:42:23 +0200
  • 6ec1dbd Add parameter err to get(), del() and clear() by tmeissner 2015-08-21 19:39:05 +0200
  • 5dc214d Add DictP tests; replace osvvm_2.1 by 2014.01; refactoring by tmeissner 2015-08-21 16:55:52 +0200
  • b42ee6e Add package & testbench for simple dictionary protected type by tmeissner 2015-08-21 16:33:37 +0200
  • 7ed623f including UtilP package for and_reduce() function by tmeissner 2015-08-21 16:31:54 +0200
  • f9361cc Outcomment VHDL-08 proposal library including & uses by tmeissner 2015-08-21 16:29:31 +0200
  • a213739 New folder common with package UtilP by tmeissner 2015-08-21 16:19:40 +0200
  • 41f76ef Switched to OSVVM 2014.01 by tmeissner 2015-08-21 16:16:46 +0200
  • 6d57c59 Queue can store data with type slv with arbitrary length by tmeissner 2015-08-21 02:41:42 +0200
  • 1bb058f Changed to OHDL license by T. Meissner 2015-07-17 15:03:54 +0200
  • 9761e56 Changed from LGPLv3 to OHDL license by T. Meissner 2015-07-17 15:03:16 +0200
  • 4c3bdca add info for WishBone components & unit test by tmeissner 2014-12-02 17:46:18 +0100
  • f0e4901 moved register write into ADDRESS state, decreasing the write to one cycle only by tmeissner 2014-12-02 15:47:34 +0100
  • 285a251 react to slave ack in ADDRESS state by tmeissner 2014-12-02 13:59:09 +0100
  • 9cac2cb add gitignore file by tmeissner 2014-12-01 23:54:56 +0100
  • 500f41f add init() procedure to t_list_queue type to configure the maximal depth of the linked-list queue by tmeissner 2014-12-01 23:52:43 +0100
  • dfa3992 add forgotten vhdl2008 dependenciy to queuet target by tmeissner 2014-12-01 23:51:30 +0100
  • ad49871 Merge branch 'master' of https://github.com/tmeissner/libvhdl by tmeissner 2014-12-01 23:25:15 +0100
  • 7d60f0a add simple wishbone master and slave with support of classic single write and read as specified in the wishbone spec b4; add unit tests for wishbone m,aster & slave by tmeissner 2014-12-01 23:22:31 +0100
  • b038131 add reset value for s_miso in SpiMasterE unit test by tmeissner 2014-11-27 18:10:18 +0100
  • c221b65 ste is now generated combinatoral in parallel to the fsm by tmeissner 2014-11-27 18:09:37 +0100
  • 8369ba7 add tests for new direction generics/parameters by tmeissner 2014-11-26 23:02:40 +0100
  • 9a38916 add dir parameter to spi_* procedures to configure direction of data transmission (MSB->LSB and vice versa) by tmeissner 2014-11-26 23:01:34 +0100
  • 5c06158 add generic G_DATA_DIR to set if we want transfer from LSB to MSB ore vice versa by tmeissner 2014-11-25 23:01:36 +0100
  • 8f2c3f5 printing hex string instead of binary ones in std_logic_vector versions of assert_(un)qual() procedures by tmeissner 2014-11-21 00:05:33 +0100
  • 034b10c change G_SCLK_DIVIDER range start to 6 (lowest working divider value) and adapt assertion to new range by tmeissner 2014-11-21 00:04:20 +0100
  • 4acbb3c using osvvm randompkg to randomize stimuli in SimT tests by tmeissner 2014-11-21 00:01:55 +0100
  • 81ed8e8 moved deleting of vhdl-2008 packaged from clean to distclean target by tmeissner 2014-11-20 23:58:56 +0100