14 Commits (main)

Author SHA1 Message Date
  T. Meissner 5d9943c78f Remove CC_CTRL_END component, use CC_USR_RSTN instead 6 months ago
  T. Meissner 6b1b376932 Use speed instead of moderate FPGA speed grade 1 year ago
  T. Meissner 8cf0e6185c blink & uart_reg designs are working now 1 year ago
  T. Meissner 3b6a315a0d Add user_components.vhd containing generic RTL modules 1 year ago
  T. Meissner 6cffeef4a5 Rename components.vhd to rtl_components.vhd 1 year ago
  T. Meissner 012de1f868 RTL refactoring 1 year ago
  T. Meissner d57f683506 Adapt sim to updated RTL 1 year ago
  T. Meissner 133e25aa3d Let LEDs rotate instead of counting up 1 year ago
  T. Meissner a0fcc51dc8 Add make target to program FPGA 1 year ago
  T. Meissner 81be6cfd05 Add CC_CFG_END unit, Use PLL lock & cfg_end for reset 1 year ago
  T. Meissner f28d35d12b Also remove bit file in clean target 1 year ago
  T. Meissner efaca0c912 Add PnR pass and constraint file 1 year ago
  T. Meissner 95887cb31d Add PLL to blink design 1 year ago
  T. Meissner 45ced01c22 Add blink design & simulation 1 year ago